CN107342300A - 半导体器件及制造图像传感器器件的方法 - Google Patents

半导体器件及制造图像传感器器件的方法 Download PDF

Info

Publication number
CN107342300A
CN107342300A CN201611155540.2A CN201611155540A CN107342300A CN 107342300 A CN107342300 A CN 107342300A CN 201611155540 A CN201611155540 A CN 201611155540A CN 107342300 A CN107342300 A CN 107342300A
Authority
CN
China
Prior art keywords
pixel region
sublayer
area
thickness
pixel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201611155540.2A
Other languages
English (en)
Other versions
CN107342300B (zh
Inventor
朱彦璋
杜友伦
蔡正原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107342300A publication Critical patent/CN107342300A/zh
Application granted granted Critical
Publication of CN107342300B publication Critical patent/CN107342300B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • H01L27/14607Geometry of the photosensitive area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14645Colour imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

提供一种用于在图像传感器中形成像素的系统和方法。在实施例中,一种半导体器件包含:图像传感器,图像传感器包含位于衬底中的第一像素区和第二像素区,第一像素区邻近第二像素区;位于第一像素区上方的第一抗反射涂层,第一抗反射涂层为第一波长范围的入射光减少反射;位于第二像素区上方的第二抗反射涂层,第二抗反射涂层为第二波长范围的入射光减少反射,第二波长范围不同于第一波长范围。本发明实施例还提供一种半导体器件及制造图像传感器器件的方法。

Description

半导体器件及制造图像传感器器件的方法
技术领域
本发明实施例涉及半导体领域,具体涉及半导体器件及制造图像传感器器件的方法。
背景技术
互补金属氧化物半导体图像传感器(CIS)通常利用一系列光电二极管,其在半导体衬底的像素区阵列内形成,以便感测光何时影响光电二极管。传输晶体管,其接近每个光电二极管且位于每个像素区内,其可以被形成以便由光电二极管中的感测光产生的信号在所需时间传输。这样的光电二极管和传输晶体管允许图像在所需时间被捕获,其由在所需时间操作传输晶体管。
通常可以在任何一个前侧照明组或后侧照明组来形成互补金氧化物半导体图像传感器。在前侧照明组中,光从图像传感器的“前”侧,其上已经形成传输晶体管,传递到光电二极管。在背面照明组中,在衬底的前侧形成传输晶体管、金属层和介电层,并且光被允许从衬底的“后”侧传递到光电二极管,使得光击中光电二极管,在其到达传输晶体管、介电层,或金属层之前。CIS的一些构造包括抗反射涂层(ARC),其允许更多的光通过减少从衬底和远离光电二极管反射的光的数量,从而到达光电二极管。
发明内容
根据本发明的一个方面,提供一种半导体器件,包括:图像传感器,包括在衬底中的第一像素区和第二像素区,第一像素区邻近第二像素区;第一抗反射涂层,位于第一像素区的上方,第一抗反射涂层为第一波长范围的入射光减少反射;以及第二抗反射涂层,位于第二像素区的上方,第二抗反射涂层为第二波长范围的入射光减少反射,第二波长范围不同于第一波长范围。
根据本发明的另一方面,提供一种半导体器件,包括:衬底;位于衬底的第一像素区中的第一光敏二极管;以及位于衬底的第二像素区中的第二光敏二极管,其中,第一像素区与第二像素区具有不同的尺寸。
根据本发明的另一方面,提供一种制造图像传感器器件的方法,方法包括:在衬底的第一区中注入离子以形成第一光敏二极管;在衬底的第二区中注入离子以形成第二光敏二极管,其中,第一区邻近第二区;在第一区的上方沉积第一材料的第一层,其中,第一层为第一抗反射涂层;以及在第二区的上方沉积第二材料的第二层,其中,第二层为第二抗反射涂层,并且第二层与第一层不同。
附图说明
结合附图阅读以下详细说明,可更好地理解本公开的各方面。值得注意的是,依照同行业标准的惯例,许多特征并非按比例绘制。实际上,为论述清楚,各功能件的尺寸可任意增加或减少。
图1A例示根据一些实施例的具有像素区阵列的图像传感器。
图1B例示根据一些实施例位于衬底中的第一光敏二极管、第二光敏二极管和第三光敏二极管上方的抗反射涂层。
图1C例示根据一些实施例的量子效率与抗反射涂层厚度的图表。
图2例示根据一些实施例滤光器和微透镜在衬底上方的形成。
图3例示根据一些实施例的抗反射涂层的形成。
图4例示根据一些实施例包括不同材料的抗反射涂层的形成。
图5例示根据一些实施例的具有不同抗反射涂层的光敏二极管的量子效率的图表。
图6A至图6B例示根据一些实施例的像素区阵列。
图7例示根据一些实施例的信噪比与绿色像素大小的图表。
具体实施方式
以下公开为实现本发明的不同功能提供了很多不同的实施例或者实例。下面描述了组件与设置的具体示例,以便简要说明本公开。当然,这些仅仅是示例,并非旨在限制本发明。例如,在以下描述中,在第二部件或其上方形成的第一部件可包含实施例,所述第一,第二部件在直接接触上形成。此外,本公开可以在各种示例中重复参考数字和/或字母。此重复是为了简化和清楚的目的,且本身并不决定所讨论的各种实施例和/或配置之间的关系。
此外,为了便于描述,本文使用空间相对术语,例如“低于”、“下面”、“下方”、“上面”、“上部”等来描述如图中所示的一个元件或特征与另一元件或特征的关系。空间相对术语旨在包含除附图所示的方向之外使用或操作器件时的不同方向。该装置可调整为其他方向(旋转90度或者面向其他方向),而其中所使用的空间相关描述符也可进行相应的解释。
现参考图1A,示出的图像传感器100包括后侧照明像素区101的栅格或阵列。像素区101阵列的一部分的实例以像素阵列110示出。示例性像素区以第一像素区103、第二像素区105和第三像素区107示出。在其它实施例中,图像传感器100可以是其前侧照明式。图像传感器100还可以包括逻辑区109,其邻近像素区101阵列。逻辑区109可以具有额外的电路和用于从像素区101的阵列的输入和输出至像素区101的接触件。逻辑区109用于提供像素区101的操作环境及像素区101阵列和其它外部设备(未示出)之间的适中通信。
图1B展示相邻像素区的简化截面图,该区域包含第一像素区103、第二像素区105及图1A中通过线A-A’的第三像素区107。图1B展示衬底111,其具有由隔离区117分离的第一像素区103、第二像素区105和第三像素区107。衬底111可以包括前侧113和后侧115,且可以是半导体材料,比如硅、锗、金刚石或其类似物。或者,化合物的材料,比如硅锗、碳化硅、砷化镓、砷化铟、磷化铟、硅锗碳化物、磷砷化镓、磷化铟镓,这些的组合或其类似物,也可以使用。此外,所述衬底111可以包括绝缘体上硅(SOI)衬底。一般地,SOI衬底包括半导体材料层,比如外延硅、锗、硅锗、SOI、绝缘体上硅锗(SGOI),或其组合。为本领域技术人员熟知的是,衬底111可掺杂p型掺杂剂,比如硼、镓,尽管衬底可以可选择地掺杂有n型掺杂物。
隔离区117可位于第一像素区103、第二像素区105以及第三像素区107之间的衬底111内,以便分离和隔离第一像素区103、第二像素区105以及第三像素区107。隔离区117可以是浅沟槽隔离,其通常通过蚀刻衬底111形成,以形成沟槽以及用本领域中已知的介电材料填充该沟槽。隔离区117可以填充有介电材料,比如氧化材料、高密度等离子体(HDP)氧化物等,其通过本领域中已知的常规方法形成。或者,氧化物衬垫可以沿隔离区117的侧壁形成。
第一光敏二极管119可以在第一像素区103中形成,第二光敏二极管121可以在第二像素区105中形成,及第三光敏二极管123可以在第三像素区107中形成。第一光敏二极管119、第二光敏二极管121和第三光敏二极管123可以用来产生照射在各个感光二极管上的涉及光强度或光亮度的信号。在实施例中,第一光敏二极管119、第二光敏二极管121和第三光敏二极管123可以包括n型掺杂区125,其在衬底111(其在此实施例中可以是p型衬底)中形成,且也可以包括重掺杂p型掺杂区127,其在n型掺杂区125的表面上形成,以形成p-n-p结。
n型掺杂区125可以被形成,例如,使用光刻掩模和注入工艺。例如,第一光刻胶(图1B中未示出)可以放置在衬底111上。第一光刻胶,可以包括常规光刻胶材料,比如,深紫外线(DUV)光刻胶,并且可以在衬底111的表面上沉积,例如,通过使用旋涂工艺以放置第一光刻胶。然而,形成和放置第一光刻胶的任何其它合适的材料或方法也都可以使用。一旦第一光刻胶已经放置在衬底111上,第一光刻胶可以暴露于能量,例如光,通过图案化光罩以诱导出第一光刻胶的暴露于能量的那些部分的反应。然后,第一光刻胶可以被显影,及第一光刻胶的部分可以被移除,暴露需要第一光敏二极管119、第二光敏二极管121和第三光敏二极管123位于衬底111上的一部分。
一旦第一光刻胶被放置和显影,重掺杂的n型掺杂区125可以通过第一光刻胶注入n型掺杂剂(例如,磷、砷、锑等)形成。在实施例中,n型掺杂区125可以被注入,使得它们的浓度在约1e15原子/cm3至约1e20原子/cm3之间,例如约8e15原子/cm3。然而,重掺杂n型区125的任何合适的替代浓度也都可以被使用。
n型掺杂区125形成之后(例如,通过注入工艺),可以形成p型掺杂区域127,例如,使用第一光刻胶作为掩模的离子注入工艺。可以形成p型掺杂区域127,以延伸至在约1微米至约4微米之间的衬底111。此外,可以形成p型掺杂区127,使得其浓度在约1e15原子/cm3至约5e19原子/cm3之间,例如约1e16原子/cm3。一旦形成第一光敏二极管119、第二光敏二极管121和第三光敏二极管123,第一光刻胶可以被移除。在实施例中,可以通过诸如灰化工艺以移除第一光刻胶。
此外,本领域内普通技术人员将意识到上述的第一光敏二极管119,第二光敏二极管121,和第三光敏二极管123仅仅是可以在本实施例中使用的一种类型。任何合适的光电二极管都可以在该实施例中使用,并且所有这些光电二极管都意欲被包含在该实施例的范围之内。此外,精确的方法或上述步骤的顺序可以被修改,例如通过先于n型掺杂区125形成p型掺杂区127,而仍然在该实施例的范围内。
可以形成第一晶体管129a、第二晶体管129b和第三晶体管129c,其接近第一光敏二极管119、第二光敏二极管121和第三光敏二极管123,分别在第一像素区103、第二像素区105和第三像素区107。第一晶体管129a、第二晶体管129b和第三晶体管129c可以是传输晶体管。然而,第一晶体管129a、第二晶体管129b和第三晶体管129c也仅仅是众多类型功能晶体管的代表,其可以在第一像素区103、第二像素区105和第三像素区107被使用。例如,当第一晶体管129a、第二晶体管129b和第三晶体管129c作为传输晶体管在图1B中示出,实施例还可以包含位于第一像素区103、第二像素区105和第三像素区107的其它晶体管,例如复位晶体管、源极跟随晶体管或选择晶体管。这些晶体管可以被设置,例如,以形成四晶体管的CMOS图像传感器(CIS)。在图像传感器中可以使用的所有合适的晶体管和配置充分意欲被包含于该实施例的范围之内。
第一晶体管129a、第二晶体管129b和第三晶体管129c可以包括栅极堆叠件,其可以形成于衬底111。栅极堆叠件可以各自包括栅极电介质131及栅极电极133。栅极电介质131及栅极电极133可以通过本技术领域已知的任何合适的方法在衬底111上形成及图案化。栅极电介质131可以是高k介电材料,诸如氧化硅、氧氮化硅、氮化硅、氧化物、含氮氧化物、氧化铝、氧化镧、氧化铪、氧化锆、氧氮化铪及其组合等。栅极电介质131可以具有大于约4的相对介电常数值。
在实施例中,栅极电介质131包括氧化物层,栅极电介质131可以通过任何氧化工艺,例如在包括氧化物、H2O、NO的环境中的湿热或干热氧化,或通过使用四乙基原硅酸(TEOS)和氧气作为前体的化学气相沉积(CVD)技术来形成。在实施例中,栅极电介质131可以是在厚度约之间,例如的厚度。
栅极电极133可以包括导电材料,例如金属(例如,钽、钛、钼、钨、铂、铝、铪、钌)、金属硅化物(例如,硅化钛、硅化钴、硅化镍、钽硅化物)、金属氮化物(例如,氮化钛、氮化钽)、掺杂多晶硅、其它的导电材料或其组合。在一个实例中,非晶硅被沉积和重结晶,以创建多晶硅(多晶硅)。在实施例中,栅极电极133是多晶硅,栅极电极133可以通过低压化学气相沉积(LPCVD)沉积掺杂或未掺杂的多晶硅来形成,其厚度在约至约比如
间隔件135可以在栅极电介质131和栅极电极133的侧壁上形成。间隔件135可以通过在以前形成的结构上形成毯覆式沉积的间隔件(未示出)。间隔层可以包括氮化硅、氮氧化合物、碳化硅、SiON、氧化物和其类似,并且可以通过常用的方法,比如化学气相沉积(CVD)等离子体增强CVD、溅镀,以及本领域中已知的其它方法形成。然后间隔层被图案化以形成间隔件135,比如通过各向异性刻蚀,以从结构的水平表面移除间隔物层。
从第一光敏二极管119、第二光敏二极管121和第三光敏二极管123的栅极电介质131相对侧,在衬底111上形成源极区/漏极区137。在实施例中,衬底111为p型衬底,所述源极区/漏极区137可以通过注入适当的n型掺杂剂,比如磷、砷或锑来形成。源极区/漏极区137可以通过使用作为掩模的栅极电极133和间隔件135被注入,以形成轻度掺杂的源极区/漏极区(LDD)139和重度掺杂的源极区/漏极区141。
应当注意的是,本领域的普通技术人员将认识到,许多其它的过程、步骤等可以被用于形成源极区/漏极区137和第一光敏二极管119、第二光敏二极管121及第三光敏二极管123。例如,本领域的普通技术人员将认识到,多个注入物通过使用间隔件和衬里的各种组合来执行,以形成源极区/漏极区137和具有适合于特定目的的特定形状或特性的第一光敏二极管119、第二光敏二极管121及第三光敏二极管123。任何这些可用于形成源极区/漏极区137和第一光敏二极管119、第二光敏二极管121及第三光敏二极管123的过程和以上描述并不旨在限制以上实施例所呈现的步骤。
一旦第一晶体管129a、第二晶体管129b和第三晶体管129c形成,第一层间介电(ILD)层143可以在第一像素区103、第二像素区105和第三形成像素区107及接触件145可以通过第一ILD层143来形成。第一ILD层143可以包括材料,例如硼磷硅酸玻璃(BPSG),尽管任何合适的电介质都可用于任一层。第一ILD层143可以使用工艺,如PECVD来形成,尽管其它工艺,例如LPCVD,也可以被使用。第一ILD层143可以形成厚度在约至约之间。
接触件145可以用合适的光刻和蚀刻技术通过第一ILD层143来形成。在实施例中,第一光刻胶材料被用来创建一个图案化掩模,以定义接触件145。其它掩模,比如硬掩模,也可以被使用。蚀刻工艺,比如各向异性或各向同性蚀刻工艺,执行蚀刻第一ILD层143。
然后可以形成接触件145以便接触衬底111和栅极电极133。接触件145可以包括阻挡层/粘附层(图1B未单独示出),以防止扩散及为接触件145提供更好的附着力。在实施例中,阻挡层由钛的一个或多个层、氮化钛、钽、氮化钽等形成。阻挡层可以通过化学气相沉积来形成,尽管也可以使用其它技术。阻挡层可以形成总厚度在约至约之间。
接触件145可以由任何合适的导电材料,例如高导电性和低电阻金属、元素金属、过渡金属等来形成。在示例性实施例中,接触件145由钨形成,尽管也可以使用其它材料,例如铜。在实施例中,接触件145由钨形成,并可以通过本领域中已知的CVD技术来沉积,虽然也可以使用任何的形成方法。
接触件145形成之后,可以执行衬底111前侧113的进一步过程。该过程可以包括形成各种导电层和介质层(由参考编号147统称于图1B中),以便形成单独形成装置彼此之间的互连。这些互连可以通过任何合适的形成工艺制成(例如,光刻与蚀刻、镶嵌、双镶嵌等),并可以使用合适的导电材料,比如铝、铜合金等形成。
此外,一旦互连位于第一ILD层143上方形成,便可以形成钝化层149以便保护下层免受物理和化学损伤。钝化层149可以由一种或多种合适的介电材料,比如氧化硅、氮化硅、低k电介质(比如掺杂碳的氧化物)、极低k电介质(比如多孔掺杂碳的二氧化硅)和其组合等。钝化层149可以通过工艺形成,比如化学气相沉积(CVD),尽管任何合适的方法都可以使用。
图1B还展示了在载体晶片151上衬底111的位置及衬底111背侧115上的进一步工艺,其可以在执行衬底111前侧113上的工艺后执行。载体晶片151可以被用来提供支持和保护衬底111前侧113的结构,而背侧115被进一步处理,且载体晶片151可以包含比如玻璃、硅、玻璃陶瓷及其组合等材料。衬底111可以使用例如,粘合剂(在图1B中未单独示出),连接到载体晶片151,尽管也可以使用将衬底111连接到载体晶片151的任何合适的方法。
或者,衬底111可以是晶片,其接合到另一个晶片(未示出)而非载体晶片151。在本实施例中,衬底111可以通过导电层和介电层147和钝化层149物理地及电连接到另一晶片,以便在衬底111和其它晶片之间提供信号和/或电源。保护衬底111前侧113的该方法和任何其它方法也都可以被使用,并且所有这些方法充分意欲被包含于该实施例的范围之内。
一旦衬底111被放置在载体晶片151上,则衬底111的背侧115可以被进一步处理。在实施例中,衬底111背侧115的厚度可以减小或变薄。在光到达第一光敏二极管119、第二光敏二极管121或第三光敏二极管123之前,该厚度变薄减少了光穿过衬底111背侧115的距离。可以使用移除工艺,如化学机械研磨(CMP)来执行衬底111背侧115的变薄。在CMP工艺中,蚀刻材料和研磨材料的组合被放入接触衬底111背侧115且研磨垫(未示出)被用来研磨衬底111背侧115,直到达到需要的厚度。然而,任何使衬底111背侧115变薄的合适的方法,比如蚀刻或CMP和蚀刻的组合,也都可以被使用。衬底111背侧115可以变薄,使得衬底111的厚度在约2微米至约2.3微米之间。
图1B展示了位于衬底111背侧115上方的第一ARC161、第二ARC171和第三ACR181的形成。在实施例中,第一ARC161、第二ARC171和第三ACR181可以被用于减少从第一光敏二极管119、第二光敏二极管121和第三光敏二极管123反射的入射光量,并因此允许更多的光入射在第一光敏二极管119、第二光敏二极管121和第三光敏二极管123。以这种方式,图像传感器100的效率可以提高,且图像传感器100的信噪比也可以降低。
在实施例中,第一ARC161、第二ARC171和第三ACR181可以被配置为减少入射光特定颜色(即,特定波长或波长范围)的反射。例如,第一ARC161、第二ARC171和第三ACR181可以被配置为减少对应三原色(蓝色,绿色,红色)中的一种光波长的反射。如下所述,每个第一ARC161、第二ARC171和第三ACR181都可以包括不同厚度的一个或多个层和/或不同材料的一个或多个层。
在实施例中,第一ARC161可以在第一像素区103的衬底111背侧115上方形成。第一ARC161可以通过形成的第一子层163和第二子层165来形成。第一子层163和第二子层165可以通过比如CVD、PECVD或其组合的工艺来形成。第一子层163和第二子层165可以由一种或多种合适的介电材料,例如氧化硅、氮化硅、高k电介质及其组合等制成。例如,第一子层163可以是一种材料,比如氧化硅,尽管也可以使用任何其它合适的材料。第一介电层163可以形成在约至约之间的第一厚度t1,比如大约在一些实施例中,第一子层163可以使用适当的光刻掩模和蚀刻工艺使在第一像素区103上方图案化。
一旦第一子层163在第一像素区103上方形成,则第二子层165可以在第一子层163上方形成。第二子层165可以是与第一子层163不同的材料。例如,在一些实施例中,第一子层163是氧化硅及第二子层165是氮化硅。第二子层165可以形成第二厚度t2,其与第一子层163的第一厚度t1不同。第二介电层165可以形成在约至约之间的厚度t2,比如大约
在一些实施例中,第二子层165在第一子层163上方形成,在第三子层173(如下进一步所述,在第三子层173形成之后)上方形成,并在第五子层183(如下进一步所述,在第五子层183形成之后)上方形成,第二子层165可以被平面化或变薄至所需厚度(例如,第二厚度t2)。例如,第二子层165可以沉积至的厚度大于第二厚度t2,然后变薄至第二厚度t2.。第二子层165的变薄可以使用比如CMP、蚀刻或其它过程或其组合来执行。
在另一些实施例中,第一子层165可以使用合适的光刻掩模和蚀刻工艺使其在第一像素区103第一子层163的上方图案化。用于形成第二子层165的光刻工艺可以使用相同的光刻掩模,其被用于形成第一子层163或不同的光刻掩模。
在其它实施例中,第一ARC161可以包括较少层(例如,第一子层163或第二子层165中的一个)或多个层(例如,三个或三个以上子层)。为了减少反射,层的厚度、层的数量和层的材料的其它组合也是可以的。第一ARC161的抗反射性能(例如,波长范围、反射减少的量或其它性质)可以由第一子层163的材料和第一厚度t1及第二子层165的材料和第二厚度t2确定。例如,在实施例中,第一ARC161的第一子层163可以包括氧化硅及第二子层165可以包括氮化物,比如SiN。第一子层163和第二子层165的不同材料的其它对也能被使用,比如氧化物和碳化硅、氧化物和氮化硅、氧化铝(Al2O3)和氮化硅、二氧化铪(HfO2)和氮化硅等各自的组合,或这些或其它材料的组合。
在一些实施例中,第一子层163和第二子层165具有相同的材料。在一些实施例中,第一ARC161包括具有材料组合的三个子层,比如氧化物层、氮化硅层和另一个氧化层的组合,比如氧化物层、碳化硅层和氮化硅层的组合,比如三氧化二铝(Al2O3)层、二氧化铪层(HfO2)和增强等离子体硅氮化物层(PESN)的组合,或这些或其它材料的其它组合。氧化物可以是氧化硅(例如,由远程等离子体氧化(RPO)形成的硅氧化物,由TEOS形成的氧化硅层,富硅氧化物(SRO)或另一种类型)或另一种类型的氧化物。此处所提供的实例不意欲限制本公开的范围。
如图1B所示,示例性图像传感器100还可以包括位于第二像素区105上方的第二ARC171。第二ARC171可以以类似的方式形成于第一ARC161。正因此,第二ARC171可以包括具有第三厚度t3的第三子层173和具有第四厚度的第四子层175。第三厚度t3和第四厚度t4可以具有在约至大约之间的厚度,比如约在第二ARC171中的第三子层173的组合物和/或第三厚度及第四子层175的组合物和/或第四厚度t4可以被指定以减少反射光的特定颜色。可以形成第二ARC171以减少与第一ARC161不同的入射光的色彩反射。例如,可以形成第一ARC161以减少对第一像素区103蓝色入射光的反射,且可以形成第二ARC171以减少第二像素区105绿色入射光的反射。
在一些实施例中,在第二ARC171中第三子层173的第三厚度t3可以大于在第一ARC161中第一子层163的第一厚度t1。例如,第三厚度t3可以在约20纳米至约300纳米之间,比如约80纳米及第一厚度t1可以在约15纳米至约295纳米之间,比如约50纳米。在一些实施例中,在第二ARC171中第四子层175的第四厚度t4小于在第一ARC161中第二子层165的第二厚度t2。例如,第四厚度t4可以在约20纳米至约300纳米之间,比如约50纳米及第二厚度t2可以在约25纳米至约305纳米之间,比如约80纳米。在一些实施例中,第二ARC171可以包括一层或三个以上的子层。
如图1B所示,示例性图像传感器100还可以包括位于第三像素区107上方的第三ARC181。第三ARC181可以以类似的方式形成于第一ARC161和/或第二ARC171。正因此,第三ARC181可以包括具有第五厚度t5的第五子层183和具有第六厚度的第六子层185。在第三ARC181中的第五子层183的组合物和/或第五厚度t5及第六子层185的组合物和/或第六厚度t6可以被指定以减少特定颜色光的反射。可以形成第三ARC181以减少与第一ARC161或第二ARC171不同的入射光的色彩反射。例如,可以形成第一ARC161以减少入射到第一像素区103的蓝色光反射,可以形成第二ARC171以减少入射到第二像素区105的绿色光反射,及可以形成第三ARC181以减少入射到第三像素区107的红色光反射。
在一些实施例中,在第三ARC181中第五子层183的第五厚度t5可以大于在第一ARC161中第一子层163的第一厚度t1和/或大于在第二ARC171中第三子层173的第三厚度t3。例如,第五厚度t5可以是约80nm,第三厚度t3可以是约60纳米,且第一厚度t1可以是约50纳米。在一些实施例中,在第三ARC181中第六子层185的第六厚度t6小于在第一ARC161中第二子层165的第二厚度t2和/或小于在第二ARC171中第四子层175的第四厚度t4。例如,第二厚度t2可以是约80nm,第四厚度t4可以是约60纳米,且第六厚度t6可以是约50纳米。在一些实施例中,第三ARC181可以包括一层或三个以上的子层。
在一些实施例中,第二子层165、第四子层175和第六子层185分别形成,例如,使用三种独立的光刻掩模和蚀刻工艺。在一些实施例中,第二子层165、第四子层175和第六子层185一起形成,例如,使用单一沉积。在一些情况下,第二子层165、第四子层175和第六子层185被平面化或变薄至衬底111上方相同的高度。该层的变薄可以使用比如CMP、蚀刻或其它过程或其组合来执行。例如,第二子层165、第四子层175和第六子层185可以变薄,使得第一ARC161、第二ARC171和第三ARC181的总厚度是相同的。在一些实施例中,一个或多个第一ARC161、第二ARC171和第三ARC181可以包括不同数目的子层。例如,第一ARC253a可以包括一层及第二ARC255b可以包括两层。
图1C展示了图表190,其是为不同颜色的光(蓝,绿,红)和抗反射涂层(ARC)的不同厚度而展示的光敏二极管量子效率(QE)的一个模拟例子。对于图表190中的模拟,该ARC包括位于氧化硅上方的氮化硅子层,其具表示氮化硅层厚度的x轴。如图表190所示,每种颜色的光电二极管QE随氮化硅子层的厚度而变化,且单一子层的厚度不对应于所有颜色的最佳QE。如图1C所示,蓝光的QE在子层的厚度最大,约50nm(第一点191附近),绿光的QE在子层的厚度最大,约60nm(第二点193附近),及红光的QE在子层的厚度最大,约80nm(第三点195附近)。因此,包含单一材料的单一子层厚度的ARC不一定对应于光的多种颜色的QE最大值,且对不同像素区的具有不同ARC属性的像素区与不同域可以提高图像传感器的QE。
图2展示了在衬底111背侧115上第一颜色滤波器201、第二滤光器203和第三滤光器205的形成,其分别位于第一ARC161、第二ARC171和第三ARC181上方。图2还展示了第一微透镜207、第二微透镜209和第三微透镜211的形成,其分别位于第一颜色滤波器201、第二滤光器203和第三滤光器205。第一滤光器201、第二滤光器203和第三滤光器205可以各自都包括原色之一(例如,蓝色、绿色、红色)的单个过滤器,并且可以被定位,以过滤将射到第一光敏二极管119、第二光敏二极管121和第三光敏二极管123的光。第一滤光器201、第二滤光器203和第三滤光器205可以各自对应于第一ARC161、第二ARC171和第三ARC181的属性。例如,在第一像素区103中,第一滤光器201可以允许蓝光及第一ARC161可以减少蓝光的反射。同样地,在第二像素区105中,第二滤光器203可以允许绿光及第二ARC171可以减少绿光的反射,且在第三像素区107,第三滤光器205可以准许红光及第三ARC181可以减少红光的反射。第一滤光器201、第二滤光器203和第三滤光器205可以是滤光器阵列图案的一部分。例如,第一滤光器201、第二滤光器203和第三滤光器205可以是用于图像传感器100上方的滤光器位置上的拜耳RGB图案、YotsubaCRGB图案的一部分。在一些实施例中,如图1A所示,第一滤光器201、第二滤光器203和第三滤光器205可以是滤光器阵列110的一部分。
一个或多个第一滤光器201、第二滤光器203和第三滤光器205可以包括聚合物材料或树脂,比如包括有色颜料的高分子聚合物。在实施例中,高分子聚合物被用于形成第一滤光器201、第二滤光器203和第三滤光器205,每个第一滤光器201、第二滤光器203和第三滤光器205都可以通过使用比如旋涂的工艺形成于衬底111上方。在衬底111上方旋涂聚合材料之后,该材料可以通过使用合适的光刻掩模和蚀刻工艺被图案化以形成第一滤光器201、第二滤光器203和/或所述第三滤光器205。用于形成第一滤光器201、第二滤光器203和/或所述第三滤光器205的任何其它合适的方法也都可以被使用。
第一微透镜207、第二微透镜209和第三微透镜211可以通过首次施加和图案化正性光刻胶(未示出)从而在第一滤光器201、第二滤光器203和第三滤光器205上方形成。一旦形成,然后图案化的光刻胶可以被烘烤以使光刻胶进入第一微透镜207、第二微透镜209和第三微透镜211。第一微透镜207、第二微透镜209和第三微透镜211可以被用于更直接地聚焦入射光分别到第一光敏二极管119、第二光敏二极管121和第三光敏二极管123。
图3展示包括第四ARC301和第五ARC303的示例性图像传感器的实施例。第四ARC301位于第一像素区103和第二像素区105上方,并包括位于第八子层307上方的第七子层305。第五ARC303位于第三像素区107上方并包括第七子层305。
第四ARC301和第五ARC303通过在衬底111背侧115的上方形成第七子层305。如图3所述,第七子层305可以在第一像素区103、第二像素区105和第三像素区107的上方形成。第七介电层305的第七厚度t7在约至约之间,比如大约第七子层305可以通过比如CVD、PECVD、热氧化或其组合的方法来形成,并且由一个或多个合适的介电材料,例如氧化硅、氮化硅、高k电介质及其组合等制成。在实施例中,第七子层305可以使用合适的光刻掩模和蚀刻工艺使其在第一像素区103和第二像素区105的上方图案化。在实施例中,第七子层305可以在一个像素区(例如,位于第一像素区103上方)上方形成,在两个像素区(例如,在第一像素区103及第二像素区105)上方形成,或者在其它数量的像素区上方形成。
第八子层307可以在第七子层305上方形成。第八子层307可以形成在约至约之间的厚度t8,比如大约如图3的实施例所示,第八子层307在第一像素区103和第二像素区105的上方形成,而非在第三像素区107的上方形成。第八子层307可以以类似于第七子层305的工艺来形成,并且可以是与第七子层305相同或不同的材料。
如图3的实施例所示,第一像素区103和第二像素区105通过第四ARC301被覆盖,第四ARC301包括具有第七厚度t7的第七子层305和具有第八厚度t8的第八子层307。因此,第四ARC301的总厚度是第七厚度t7和第八厚度t8.的总和。第三像素区107通过第五ARC303被覆盖,第五ARC303包括第七子层305,并因此第五ARC303的总厚度是第七厚度t7。因此第一像素区103和第二像素区105都包括第四ARC301,其减少超过入射光波长的第一范围反射。第三像素区107包括第五ARC303而非第四ARC301,第五ARC303具有不同的厚度,减少了入射光波长的不同范围的反射。例如,第四ARC301可以减少光的两种颜色(例如,蓝色和绿色、蓝色和红色,或绿色和红色)的反射及第五ARC303可以减少光的另一种颜色(例如,红色、绿色或蓝色)的反射。
在实施例中,可以形成附加第三子层(未示出)。附加第三子层可以在单个像素区(例如,位于第一像素区103、第二像素区105或第三像素区107上方)上方形成,或在多个像素区(例如,位于第一像素区103和第二像素区105上方,位于像素区的其它组合上方,或位于所有的像素区上方)上方形成。附加第三子层可以是与第七子层305和/或第八子层307相同的或不同的材料。在其他实施例中,第七子层305和/或第八子层307可以是氧化硅,并且附加第三层可以是一种材料,比如氧化硅、氮化硅、碳化硅、氧化铝(Al2O3)、二氧化铪(HfO2)层、高k介电及其组合等。附加第三子层可以形成在约至约之间的厚度,比如大约
在其它实施例中,也可以形成三个子层,并且位于像素区上方的ARC可以包括三个以上的子层。以这种方式,不同的ARC可以为不同像素区的不同颜色的光进行优化。单个ARC可以为一个颜色以上的光进行优化,可以在一个以上的像素区的上方形成。
就先前图2所述的方式形成第四滤光器311、第五滤光器313和第六滤光器315及第一微透镜207、第二微透镜209和第三微透镜211。第四滤光器311的第九厚度t9,第五滤光器313的第十厚度t10和第六滤光器315的第十一厚度t11可以都是相同的,或可以各自都具有在约300纳米至约1000纳米之间的厚度。如图3所示的另一个实施例中,第九厚度9和第十厚度t10可以大约是600纳米,但是第十一厚度t11可以具有比大约700nm更大的厚度。在其它实施例中,在第九厚度t9,、第十厚度和第十一厚度t11的任何合适的组合可以具有相同或不同的厚度。
图4展示第六ARC401、第七ARC403和第八ARC405的实施例。在本实施例中,第六ARC401、第七ARC403和第八ARC405各自包括具有不同光学特性的不同材料。例如,第六ARC401、第七ARC403和第八ARC405可以通过比如CVD、PECVD、热氧化或其组合的方法来形成,并且由一个或多个合适的介电材料,例如氧化硅、氮化硅、高k电介质及其组合等制成。例如,第六ARC401可以是氮化硅,第七ARC403可以是氧化硅及第八ARC405可以是高k电介质。其他材料和材料的组合也是可以的。
如图4所示,第六ARC401、第七ARC403和第八ARC405可以具有相同的厚度,尽管在其他实施例中,一个或多个第六ARC401、第七ARC403和第八ARC405可以具有不同的厚度。例如,第六ARC401、第七ARC403和第八ARC405可以具有在约至约之间的厚度,比如约
第六ARC401、第七ARC403和第八弧405可以用合适的沉积和光刻掩模及蚀刻工艺分别在第一像素区103、第二像素区105和第三像素区107的上方形成。例如,第六ARC401可以通过使用光刻和蚀刻技术在衬底111上方沉积材料,然后图案化该材料以形成位于第一像素区103上方的第六ARC401来形成。接着,第二材料可以被沉积并图案化,以形成位于第二像素区105上方的第七ARC403,然后第三材料可以被沉积并图案化,以形成位于第三像素区107上方的第八ARC405。
在一些实施例中,特定的材料层可以在一个以上的像素区上方形成。例如,可以形成和图案化第一材料,以形成位于第一像素区103和第二像素区105上方的单个ARC。接着,可以形成和图案化第二材料,以形成位于第三像素区107上方的ARC。在一些实施例中,一个或多个第六ARC401、第七ARC403和第八ARC405可以包括相同或不同材料的多个子层。这些都是例子;在不同像素区上方形成具有不同材料的ARC的其它结构是可以的。
由于具有不同的光学特性,每个第六ARC401、第七ARC403和第八ARC405都可以减少不同颜色入射光的反射。例如,三个不同的材料可以被分别选择为第六ARC401、第七ARC403和第八ARC405,以分别减少蓝光、绿光和红光的反射。第六ARC401、第七ARC403和第八ARC405各自都可以具有在约1.4至约5之间的不同折射索引。例如,第六ARC401、第七ARC403和第八ARC405各自都可以具有的厚度,但第六ARC401可以具有减少蓝光反射的1.5的第一折射率,第七ARC403可以具有减少绿光反射的1.9的第二折射率,及第八ARC405可以具有减少红光反射的2.2的第三折射率。
在一些实施例中,第六ARC401、第七ARC403和第八ARC405可以包括提供具有不同光学特性的不同组合物的相同材料。在本实施例中,不同的光学特性可以通过使用不同的形成条件,比如流速(例如前体流速)、掺杂浓度、温度、压力或其他条件来实现。第六ARC401、第七ARC403和第八ARC405可以包括具有不同的物理性质,诸如掺杂浓度、掺杂剂类型、缺陷型或密度、错配型或浓度或其它性质的相同的材料。
在一些实施例中,第六ARC401、第七ARC403和第八ARC405可以各自包括相同的材料,但是在第六ARC401、第七ARC403和第八ARC405各自的形成过程中,前体流速可以是不同的,从而第六ARC401、第七ARC403和第八ARC405具有不同的折射率。例如,第六ARC401、第七ARC403和第八ARC405可以各自都是氮化硅,但是每个前体流速可以是不同的,以形成具有不同折射率的氮化硅。例如,使用硅烷(SiH4)作为第一前体,氮气(N2)作为第二前体,氨(NH3)作为第三前体可以形成氮化硅,及使用在40sccm至300sccm之间,比如约110sccm的第一前体流速,在2000sccm至20000sccm之间,比如8000sccm的第二前体流速,在20sccm至300sccm之间,比如80sccm的第三前体流速可以形成第六ARC401,因此第六ARC401将有1.9的第一折射率。
使用在40sccm至300sccm之间,比如约90sccm的第一前体流速,在2000sccm至20000sccm之间,比如7000sccm的第二前体流速,在20sccm至300sccm之间,比如60sccm的第三前体流速可以形成第七ARC403的氮化硅,因此第六ARC403将有2.0的第一折射率。使用在40sccm至300sccm之间,比如约60sccm的第一前体流速,在2000sccm至20000sccm之间,比如5000sccm的第二前体流速,在20sccm至300sccm之间,比如30sccm的第三前体流速可以形成第七ARC405的氮化硅,因此第六ARC405将有2.1的第一折射率。
在一些实施例中,第六ARC401、第七ARC403和第八ARC405可以各自包括相同的材料,但是在第六ARC401、第七ARC403和第八ARC405各自的形成过程中,前体流速可以是不同的,从而第六ARC401、第七ARC403和第八ARC405具有不同的折射率。例如,第六ARC401、第七ARC403和第八ARC405可以各自都是氮化硅,但是每个形成压力可以是不同的,以形成具有不同折射率的氮化硅。例如,用1托的压力可以形成具有1.9的第一折射率的第六ARC401,用5托的压力可以形成具有2.0的第一折射率的第七ARC403,用20托的压力可以形成具有2.1的第一折射率的第八ARC405。
在一些实施例中,第六ARC401、第七ARC403和第八ARC405可以各自包括相同的材料,但是在第六ARC401、第七ARC403和第八ARC405各自的形成过程中,形成温度可以是不同的,从而第六ARC401、第七ARC403和第八ARC405具有不同的折射率。例如,第六ARC401、第七ARC403和第八ARC405可以各自都是氮化硅,但是每个形成压力可以是不同的,以形成具有不同折射率的氮化硅。例如,用250oC的温度可以形成具有1.9的第一折射率的第六ARC401,用350oC的温度可以形成具有2.0的第一折射率的第七ARC403,用405oC的温度可以形成具有2.1的第一折射率的第八ARC405。
在一些实施例中,第六ARC401、第七ARC403和第八ARC405可以各自包括相同的材料,但是在第六ARC401、第七ARC403和第八ARC405各自的形成过程中,形成温度可以是不同的,从而第六ARC401、第七ARC403和第八ARC405具有不同的折射率。例如,第六ARC401、第七ARC403和第八ARC405可以各自都是掺氢的氮化硅,但是可以具有不同的掺杂浓度,以具有不同的折射率。例如,用1E20/cm3的掺杂浓度可以形成具有1.9的第一折射率的第六ARC401,用5E20/cm3的掺杂浓度可以形成具有2.0的第一折射率的第七ARC403,用1E21/cm3的掺杂浓度可以形成具有2.1的第一折射率的第八ARC405。
图5展示了图表500,其显示了光敏二极管量子效率(EQE)的示例。第一曲线501通过蓝色滤光器和仅用于蓝光且其厚度被选择以最大化QE的单一ARC,示出了光敏二极管的QE。第二曲线503通过蓝色滤光器和用于蓝光、绿光和红光且其厚度被选择以最大化QE的单一ARC,示出了光敏二极管的QE。如图500所示,可以通过使用用于特定颜色光,而非为多种颜色光的ARC来提高QE。
图6A-B展示了第一像素阵列600和第二像素阵列650的实施例。第一像素阵列600和第二像素阵列650可以是像素传感器,比如像素传感器100的一部分。例如,第一像素阵列600和第二像素阵列650可以是图1A中所示的像素阵列110的一部分。第一像素阵列600包含多个像素区,如图6A所示的第一绿色像素区601、第一蓝色像素区603和第一红色像素区605。第一绿色像素区601、第一蓝色像素区603和第一红色像素区605可以类似于图1A中讨论所述的第一像素区103、第二像素区105和/或第三像素区107。在本实施例中,第一绿色像素区601与绿光相关联。例如,第一绿色像素区601可以具有绿色滤光器和为绿光设计的ARC。同样地,第一蓝色像素区603与蓝光相关联及第一红色像素区605与红光相关联。第一绿色像素区601、第一蓝色像素区603和第一红色像素区605可以被布置在拜耳RGB图案、YotsubaCRGB图案或任何其它合适的图案中。
图6A展示了一个实施例,其中第一绿色像素区601具有比第一蓝色像素区603或第一红色像素区605更大的区域。例如,第一绿色像素区601可以具有第一宽度w1及第一蓝色像素区603和第一红色像素区605可以各自具有相同的第二宽度w2。如图6A所示,第一绿色像素区601可以具有比第一蓝色像素区603和第一红色像素区605的对应第二宽度w2更长的第一宽度w1。例如,第一宽度w1可以比第二宽度w2长约1%、5%、10%、100%或其它长度。例如,第二宽度w2可以在约0.8微米至约1.05微米之间,比如约1微米,及第一宽度w1可以在约1微米至约1.3微米之间,比如约1.1微米。在一个实施例中,第一绿色像素区601的面积可以在1μm2至1.69μm2之间,比如约1.21μm2,及第一蓝色像素区603和第一红色像素区605的面积可以在0.64μm2至1.1025μm2之间,例如约1μm2。在一些情况下,第一绿色像素区601的较大面积允许第一绿色像素区601接收比第一蓝色像素区603或第一红色像素区605更多的照明。
在一些实施例中,第一绿色像素区601可以包括或由具有与第一蓝色像素区603或第一红色像素区605不同的宽度、面积或形状的一个或多个注入物来限定。第一绿色像素区601的注入物也可以具有与第一蓝色像素区603或第一红色像素区605不同的浓度、注入离子、掺杂分布、退火温度或其它不同的特性。在其它实施例中,像素区可以具有其它宽度或面积。例如,第一绿色像素区601可以具有与第一蓝色像素区603不同的宽度或面积,及第一蓝色像素区603可以具有与第一红色像素区605不同的宽度或面积。
图6B展示了第二像素阵列650的实施例,其包含第二绿色像素区651、第二蓝色像素区653和第二红色像素区655。如图6B所示,第二绿色像素区651具有与第二蓝色像素区653或第二红色像素区655不同的形状。第二绿色像素区651具有八边形形状及第二蓝色像素区653和第二红色像素区655具有正方形形状。在一些情况下,第二绿色像素区651的八边形形状允许第二绿色像素区651的相对大小更大并由此接收比第二蓝色像素区653或第二红色像素区655更多的照明。在一些实施例中,第二绿色像素区651可以包括或由具有与第二蓝色像素区653或第二红色像素区655不同的宽度、面积或形状的一个或多个注入物来限定。第二绿色像素区651的注入物也可以具有与第二蓝色像素区653或第二红色像素区655不同的浓度、注入离子、掺杂分布、退火温度或其它不同的特性。在其它实施例中,像素区可以具有其它形状或以其它图案被布置。例如,像素区可以是方形、矩形、八边形、多边形、不规则形状或其它形状,并具有直链或圆角。
在一些实施例中,每种类型像素区都可以具有不同的形状。例如,第二绿色像素区651可以具有八边形形状,第二蓝色像素区653可以具有正方形形状,及第二红色像素区655可以具有矩形形状。在一些实施例中,单一类型的像素区可以具有不止一种形状。例如,像素阵列中的绿色像素区可以是第一尺寸的八边形,及相同像素阵列中的另一个绿色像素区可以是第二尺寸的八边形。又例如,像素阵列中的绿色像素区可以是正方形,及同一像素阵列中的另一个绿色像素区可以是矩形。
通过增加绿色像素区(例如,第一绿色像素区601或第二绿色像素区651)的相对大小,入射照明的更大部分入射到绿色像素区并因此绿色像素区接收的照明可以会增加。在一些情况下,由图像传感器接收的照明可以具有靠近绿色的峰值强度,并因此绿色像素区相对面积的增加可以导致图像传感器量子效率的增加或信噪比(SNR)的增加。增加绿色像素区的相对大小也可以允许图像传感器中不同像素区上方分散更多的有效能量,其中光的能量E(eV)波长(nm)由以下给出:
图7展示了示例性图表700,其显示了增加的绿色像素区可以允许更大的信噪比(SNR)。对于给定的相对绿色像素区大小,数据点701显示照明功率(任意单位)以产生SNR=10。在图表700中,所给的绿色像素大小是作为关于红色和蓝色像素区的绿色像素区宽度的增加百分比的。如图7所示,增加绿色像素区的相对大小可以增加达到所给SNR的照明。
在一些实施例中,图6A-B所示的一个或多个像素区可以包含图1B和图2-4所示的一个或多个ARC。例如,第一绿色像素区601或第二绿色像素区651可以包含被配置为减少绿光反射的ARC。同样,第一蓝色像素区603或第二蓝色像素区653可以包含被配置为减少蓝光反射的ARC,及第一红色像素区605或第二红色像素区655可以包含被配置为减少红光反射的ARC。例如,第一绿色像素区601可以包含第二ARC171,第一蓝色像素区603可以包含第一ARC161,及第一红色像素区605可以包含第三ARC181。这是一个例子;可以使用像素区和ARC的其它配置和组合。在一些实施例中,图像传感器是一个前端发光的图像传感器。
通过在不同的像素区上形成不同的ARC,可以会提高图像传感器整体的量子效率和/或信噪比。在某些情况下,使用不同像素区的不同AEC可以减少像素区之间的串扰,每个像素区可被配置或优化为不同颜色的光。为特定颜色的光而配置的像素区可以由于不需要的颜色的光入射至光电二极管而有大量减少的噪声。因为每个像素区可以包含为特定颜色的光而配置的ARC,位于像素区上方的每个滤光器的厚度可以会降低。较薄的彩色滤光片可以允许减少图像传感器的总厚度。
根据实施例,一种半导体器件,其包含包括第一像素区和在衬底上的第二像素区的图像传感器,第一像素区接近第二像素区。第一抗反射涂层,其位于第一像素区的上方,所述第一抗反射涂层为第一波长范围的入射光减少反射;第二抗反射涂层,其位于第二像素区的上方,所述第二抗反射涂层为第二波长范围的入射光减少反射,所述第二波长范围不同于所述第一波长范围。
根据另一个实施例,半导体器件包含衬底、在该衬底第一像素区中的第一光敏二极管,及在该衬底第二像素区中的第二光敏二极管。第一次像素区具有与第二个像素区不同的尺寸。
根据另一个实施例,制造图像传感器器件的方法包含在衬底的第一区中注入离子以形成第一光敏二极管及在衬底的第二区中注入离子以形成第二光敏二极管,其中,第一区接近第二区。第一材料的第一层沉积于第一区上方,其中,第一层为第一抗反射涂层。第二材料的第二层沉积于第二区上方,其中,第一层为第一抗反射涂层且第二层不同于第一层。
根据本发明的一个方面,提供一种半导体器件,包括:图像传感器,包括在衬底中的第一像素区和第二像素区,第一像素区邻近第二像素区;第一抗反射涂层,位于第一像素区的上方,第一抗反射涂层为第一波长范围的入射光减少反射;以及第二抗反射涂层,位于第二像素区的上方,第二抗反射涂层为第二波长范围的入射光减少反射,第二波长范围不同于第一波长范围。
根据本发明的一个实施例,第一抗反射涂层包括第一子层和形成在第一子层上方的第二子层,第二抗反射涂层包括第三子层和形成在第三子层上方的第四子层。
根据本发明的一个实施例,第一子层的厚度不同于第三子层的厚度,并且第二子层的厚度不同于第四子层的厚度。
根据本发明的一个实施例,第一子层包含与第二子层不同的材料。
根据本发明的一个实施例,第一子层包含与第二子层相同的材料。
根据本发明的一个实施例,第一抗反射涂层的厚度不同于第二抗反射涂层的厚度。
根据本发明的一个实施例,第一抗反射涂层包括氮化硅。
根据本发明的一个实施例,第一像素区具有比第二像素区更大的面积。
根据本发明的另一方面,提供一种半导体器件,包括:衬底;位于衬底的第一像素区中的第一光敏二极管;以及位于衬底的第二像素区中的第二光敏二极管,其中,第一像素区与第二像素区具有不同的尺寸。
根据本发明的一个实施例,第一像素区具有在约1.0微米至1.3微米之间的第一尺寸,并且第二像素区具有在约0.8微米至1.05微米之间的第二尺寸,第一尺寸大于第二尺寸。
根据本发明的一个实施例,第一像素区具有比第二像素区更大的面积。
根据本发明的一个实施例,第一像素区具有比第二像素区的面积大约5%至约100%的第一面积。
根据本发明的一个实施例,第一像素区包括第一抗反射涂层,并且第二像素区包括第二抗反射涂层,第二抗反射涂层与第一抗反射涂层不同。
根据本发明的一个实施例,第一像素区包括第一注入区并且第二像素区包括第二注入区,第二注入区与第一注入区不同。
根据本发明的一个实施例,第一注入区具有与第二注入区不同的尺寸。
根据本发明的一个实施例,第一像素区具有与第二像素区不同的形状。
根据本发明的一个实施例,第一像素区具有八边形形状并且第二像素区具有正方形形状。
根据本发明的另一方面,提供一种制造图像传感器器件的方法,方法包括:在衬底的第一区中注入离子以形成第一光敏二极管;在衬底的第二区中注入离子以形成第二光敏二极管,其中,第一区邻近第二区;在第一区的上方沉积第一材料的第一层,其中,第一层为第一抗反射涂层;以及在第二区的上方沉积第二材料的第二层,其中,第二层为第二抗反射涂层,并且第二层与第一层不同。
根据本发明的一个实施例,沉积第一层包括:沉积第一子层;以及在第一层上方沉积第二层。
根据本发明的一个实施例,第二材料与第一材料不同。
前述内容概述了多个实施例的特征,从而使得本领域的技术人员能较好地理解本公开的方面。本领域的技术人员应理解,其可以轻松地将本公开作为基础,用于设计或修改其他工艺或结构,从而达成与本文所介绍实施例的相同目的和/或实现相同的优点。本领域技术人员还应认识到,这种等效结构并不背离本公开的精神和范围,并且其可以进行各种更改、替换和变更而不背离本公开的精神和范围。

Claims (1)

1.一种半导体器件,包括:
图像传感器,包括在衬底中的第一像素区和第二像素区,所述第一像素区邻近所述第二像素区;
第一抗反射涂层,位于所述第一像素区的上方,所述第一抗反射涂层为第一波长范围的入射光减少反射;以及
第二抗反射涂层,位于所述第二像素区的上方,所述第二抗反射涂层为第二波长范围的入射光减少反射,所述第二波长范围不同于所述第一波长范围。
CN201611155540.2A 2016-01-15 2016-12-14 半导体器件及制造图像传感器器件的方法 Active CN107342300B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/996,462 US9673239B1 (en) 2016-01-15 2016-01-15 Image sensor device and method
US14/996,462 2016-01-15

Publications (2)

Publication Number Publication Date
CN107342300A true CN107342300A (zh) 2017-11-10
CN107342300B CN107342300B (zh) 2022-11-11

Family

ID=58778617

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611155540.2A Active CN107342300B (zh) 2016-01-15 2016-12-14 半导体器件及制造图像传感器器件的方法

Country Status (3)

Country Link
US (4) US9673239B1 (zh)
CN (1) CN107342300B (zh)
TW (1) TWI709760B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109711230A (zh) * 2017-10-26 2019-05-03 中芯国际集成电路制造(上海)有限公司 一种半导体指纹传感器及其制作方法、电子装置
CN109742108A (zh) * 2019-01-03 2019-05-10 京东方科技集团股份有限公司 一种显示面板及其制作方法、显示装置

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018123884A1 (ja) * 2016-12-27 2018-07-05 凸版印刷株式会社 固体撮像素子及びその製造方法
WO2019155435A2 (en) * 2018-02-12 2019-08-15 Trieye Ltd. Germanium on insulator for cmos imagers in the short wave infrared
KR102531355B1 (ko) * 2018-03-20 2023-05-10 삼성전자주식회사 이미지 센서
KR20190124963A (ko) * 2018-04-27 2019-11-06 주식회사 디비하이텍 후면 조사형 이미지 센서 및 그 제조 방법
US10854647B2 (en) * 2018-11-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photo diode with dual backside deep trench isolation depth
US11721774B2 (en) 2020-02-27 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Full well capacity for image sensor
TWI740512B (zh) * 2020-05-26 2021-09-21 睿生光電股份有限公司 X射線裝置
KR20220021066A (ko) * 2020-08-12 2022-02-22 삼성디스플레이 주식회사 표시 장치

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080265349A1 (en) * 2004-09-09 2008-10-30 Masahiro Kasano Solid-State Image Sensor
US20110108705A1 (en) * 2009-11-06 2011-05-12 Sony Corporation Solid-state imaging device, manufacturing method and designing method thereof, and electronic device
CN102074563A (zh) * 2008-10-10 2011-05-25 台湾积体电路制造股份有限公司 具有增强的背面照明量子效率的图像传感器
US20110309462A1 (en) * 2010-06-08 2011-12-22 Edward Hartley Sargent Stable, sensitive photodetectors and image sensors made therefrom including circuits, processes, and materials for enhanced imaging performance
CN103227178A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 用于改进图像传感器的反射率光学栅格的方法和装置
CN103378112A (zh) * 2012-04-26 2013-10-30 台湾积体电路制造股份有限公司 图像传感器器件和方法
US20140145282A1 (en) * 2012-11-29 2014-05-29 United Microelectronics Corp. Image sensor and process thereof
US20150008553A1 (en) * 2013-07-03 2015-01-08 SK Hynix Inc. Image sensor having 3d photoelectric conversion device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04199876A (ja) * 1990-11-29 1992-07-21 Nec Corp 固体撮像素子およびその製法
TW200524150A (en) * 2004-01-15 2005-07-16 Matsushita Electric Ind Co Ltd Solid state imaging device, process for fabricating solid state imaging device and camera employing same
KR100719341B1 (ko) * 2005-01-25 2007-05-17 삼성전자주식회사 이미지 센서 및 그 제조방법
JP4224036B2 (ja) * 2005-03-17 2009-02-12 富士通マイクロエレクトロニクス株式会社 フォトダイオード領域を埋め込んだイメージセンサ及びその製造方法
JP2007025009A (ja) * 2005-07-13 2007-02-01 Kyocera Mita Corp 光スキャナユニット及びこれを備えた画像形成装置
JP5082855B2 (ja) * 2005-11-11 2012-11-28 株式会社ニコン 反射防止膜を有する固体撮像装置および表示装置並びにその製造方法
US20070131988A1 (en) * 2005-12-12 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS image sensor devices and fabrication method thereof
US20090302409A1 (en) * 2008-06-04 2009-12-10 Omnivision Technologies, Inc. Image sensor with multiple thickness anti-relfective coating layers
KR20100012677A (ko) * 2008-07-29 2010-02-08 주식회사 동부하이텍 이미지 센서 및 이의 제조 방법
US8334195B2 (en) * 2009-09-09 2012-12-18 International Business Machines Corporation Pixel sensors of multiple pixel size and methods of implant dose control
KR101647779B1 (ko) * 2009-09-09 2016-08-11 삼성전자 주식회사 이미지 센서, 그 제조 방법, 및 상기 이미지 센서를 포함하는 장치
JP2011129723A (ja) * 2009-12-17 2011-06-30 Sharp Corp 固体撮像素子の製造方法
JP2012038938A (ja) * 2010-08-06 2012-02-23 Canon Inc 固体撮像素子およびカメラ
JP5659759B2 (ja) * 2010-12-10 2015-01-28 セイコーエプソン株式会社 固体撮像装置
TWI577001B (zh) * 2011-10-04 2017-04-01 Sony Corp 固體攝像裝置、固體攝像裝置之製造方法及電子機器
JP2013131553A (ja) * 2011-12-20 2013-07-04 Toshiba Corp 固体撮像装置
US9691809B2 (en) * 2013-03-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated image sensor device having an oxide film and method of forming an oxide film of a backside illuminated image sensor device
JP2015065270A (ja) * 2013-09-25 2015-04-09 ソニー株式会社 固体撮像装置およびその製造方法、並びに電子機器
JP6115787B2 (ja) * 2013-12-18 2017-04-19 ソニー株式会社 固体撮像装置およびその製造方法、並びに電子機器
KR102240020B1 (ko) * 2014-08-29 2021-04-14 삼성전자주식회사 컬러 필터를 포함하는 전자 장치
TW201628179A (zh) * 2015-01-21 2016-08-01 Jsr 股份有限公司 固體攝像裝置及紅外線吸收性組成物
JP6671864B2 (ja) * 2015-05-18 2020-03-25 キヤノン株式会社 撮像装置の製造方法および撮像装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080265349A1 (en) * 2004-09-09 2008-10-30 Masahiro Kasano Solid-State Image Sensor
CN102074563A (zh) * 2008-10-10 2011-05-25 台湾积体电路制造股份有限公司 具有增强的背面照明量子效率的图像传感器
US20110108705A1 (en) * 2009-11-06 2011-05-12 Sony Corporation Solid-state imaging device, manufacturing method and designing method thereof, and electronic device
US20110309462A1 (en) * 2010-06-08 2011-12-22 Edward Hartley Sargent Stable, sensitive photodetectors and image sensors made therefrom including circuits, processes, and materials for enhanced imaging performance
CN103227178A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 用于改进图像传感器的反射率光学栅格的方法和装置
CN103378112A (zh) * 2012-04-26 2013-10-30 台湾积体电路制造股份有限公司 图像传感器器件和方法
US20140145282A1 (en) * 2012-11-29 2014-05-29 United Microelectronics Corp. Image sensor and process thereof
US20150008553A1 (en) * 2013-07-03 2015-01-08 SK Hynix Inc. Image sensor having 3d photoelectric conversion device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109711230A (zh) * 2017-10-26 2019-05-03 中芯国际集成电路制造(上海)有限公司 一种半导体指纹传感器及其制作方法、电子装置
CN109711230B (zh) * 2017-10-26 2023-05-02 中芯国际集成电路制造(上海)有限公司 一种半导体指纹传感器及其制作方法、电子装置
CN109742108A (zh) * 2019-01-03 2019-05-10 京东方科技集团股份有限公司 一种显示面板及其制作方法、显示装置
US11081678B2 (en) 2019-01-03 2021-08-03 Boe Technology Group Co., Ltd. Display panel, method for fabricating the same, and display device

Also Published As

Publication number Publication date
US20220102396A1 (en) 2022-03-31
TW201727269A (zh) 2017-08-01
CN107342300B (zh) 2022-11-11
US20170263657A1 (en) 2017-09-14
US11201183B2 (en) 2021-12-14
US11855109B2 (en) 2023-12-26
TWI709760B (zh) 2020-11-11
US9673239B1 (en) 2017-06-06
US20200006408A1 (en) 2020-01-02
US10748948B2 (en) 2020-08-18

Similar Documents

Publication Publication Date Title
CN107342300A (zh) 半导体器件及制造图像传感器器件的方法
US10943940B2 (en) Image sensor comprising reflective guide layer and method of forming the same
CN103378112B (zh) 图像传感器器件和方法
US7875947B2 (en) Filter, color filter array, method of manufacturing the color filter array, and image sensor
CN105428381B (zh) 固体摄像装置及其制造方法以及电子设备
TWI556417B (zh) 半導體元件與其形成方法
CN102074563B (zh) 具有增强的背面照明量子效率的图像传感器
US9673244B2 (en) Image sensor isolation region and method of forming the same
US20050224694A1 (en) High efficiency microlens array
CN109427833A (zh) 光感测元件
CN109148492A (zh) 光传感装置
US20130193541A1 (en) UV Radiation Recovery of Image Sensor
CN109983580A (zh) 固体拍摄元件
US11749699B2 (en) Solid-state image sensor with pillar surface microstructure and method of fabricating the same
TWI713210B (zh) 圖像感測器以及用於形成圖像感測器的方法
US8951826B2 (en) Method for increasing photodiode full well capacity
CN103227179B (zh) 减小图像传感器中的暗电流的装置和方法
CN104051477B (zh) 图像传感器件及方法
CN103390625A (zh) 用于bsi图像传感器的背面结构和方法
CN106158889B (zh) 影像感应器

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant