CN107145618A - 用于多重图案化技术的设计规则检查的方法和系统 - Google Patents

用于多重图案化技术的设计规则检查的方法和系统 Download PDF

Info

Publication number
CN107145618A
CN107145618A CN201710023966.0A CN201710023966A CN107145618A CN 107145618 A CN107145618 A CN 107145618A CN 201710023966 A CN201710023966 A CN 201710023966A CN 107145618 A CN107145618 A CN 107145618A
Authority
CN
China
Prior art keywords
pattern
conflict
subgraph
patterning
interval
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710023966.0A
Other languages
English (en)
Other versions
CN107145618B (zh
Inventor
徐孟楷
侯元德
陈文豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107145618A publication Critical patent/CN107145618A/zh
Application granted granted Critical
Publication of CN107145618B publication Critical patent/CN107145618B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本发明的实施例公开了一种用于多重图案化技术的设计规则检查的方法,包括:确定是否存在表示集成电路(IC)的布局的多重图案化的图案的至少五个邻近的图案中的任意两个之间的每一个间隔都小于阈值间隔的冲突图形;以及如果存在冲突图形,则修改多重图案化的图案以排除由冲突图形表示的图案,以用于IC的制造。本发明的实施例还公开了一种用于多重图案化技术的设计规则检查的系统。

Description

用于多重图案化技术的设计规则检查的方法和系统
技术领域
本发明的实施例涉及半导体领域,更具体地涉及用于多重图案化技术的设计规则检查的方法和系统。
背景技术
为了使用满足更大的技术节点的制造设备,已经开发了多重曝光或多重图案化技术(MPT)。MPT涉及通过顺序使用多个不同的掩模来形成图案。MPT类似于对于图形理论中的布局划分的着色问题的布局划分方法。
发明内容
本发明的实施例提供了一种用于多重图案化技术的设计规则检查的方法,包括:确定是否存在表示集成电路(IC)的布局的多重图案化的图案的至少五个邻近的图案中的任意两个之间的每一个间隔都小于阈值间隔的冲突图形;以及如果存在所述冲突图形,则修改所述多重图案化的图案以排除由所述冲突图形表示的图案,以用于所述集成电路的制造。
本发明的实施例还提供了一种用于多重图案化技术的设计规则检查的系统,包括:存储器件,配置为存储计算机程序代码;以及处理器,配置为执行所述存储器件中的计算机程序代码以用于:基于与集成电路(IC)的布局对应的数据,建立表示多重图案化的图案的图形;检查所述图形是否包括表示所述多重图案化的图案的至少五个邻近的图案中的任意两个之间的每一个间隔都小于阈值间隔的子图;以及当所述图形包括所述子图时,修改所述图形以排除所述子图,以用于所述集成电路的制造。
本发明的实施例还提供了一种用于多重图案化技术的设计规则检查的系统,所述系统具有包括计算机可执行指令的永久计算机可读介质,当由处理器执行所述计算机可执行指令时,使得所述处理器进行一种方法,所述方法包括:将集成电路(IC)的布局的多重图案化的图案成组为将要被分配至多个不同的掩模的图案的多个子集;对于将要被分配至包括四个不同掩模的掩模的图案的子集中的每一个,建立包括四重图案化的(QP)图案的图形;检查所述图形是否包括冲突图形,其中,所述四重图案化的图案的邻近的五个图案中的任意两个之间的每一个间隔都小于阈值间隔;以及当所述图形包括所述冲突图形时,修改所述布局以排除所述冲突图形,以用于制造所述集成电路。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最佳地理解本发明的各个实施例。应该注意,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据本发明的各个实施例的布局的示图。
图2是根据本发明的各个实施例的表示图1的布局的图形的示图。
图3是根据本发明的各个实施例的使用图2中讨论的规则的用于四重图案化技术的图形。
图4是根据本发明的各个实施例的用于多重图案化冲突检查的系统的示意图。
图5示出了根据本发明的各个实施例的与图4的系统相关联的设计规则检查方法的方法的流程图。
图6是根据本发明的各个实施例的用于执行图5中的操作的方法的流程图。
图7是根据本发明的一些实施例的将要在图6中的操作中被检查的不具有5团集(5-clique)的单元的子图。
图8是根据本发明的一些其他实施例的将要在图6中的操作中被检查的具有5团集的单元的子图。
图9是根据本发明的各个实施例的用于在图6中的操作中检查两个邻接的单元中的5团集的方法的流程图。
图10是根据本发明的各个实施例的将要在图9中的操作中被检查的不具有3团集的两个邻接的单元的子图。
图11是根据本发明的各个实施例的用于在图9中预先检查的两个邻接的单元的子图。
图12是根据本发明的各个实施例的用于在图9中预先检查的两个邻接的单元的子图。
图13是根据本发明的各个实施例的用于在图9中预先检查的两个邻接的单元的子图。
图14是根据本发明的各个实施例的用于在图9中预先检查的两个邻接的单元的子图。
图15是示出了根据本发明的各个实施例的图14中的间隔单元的子图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同特征。以下将描述组件和布置的具体实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
本说明书中使用的术语通常具有其在本领域中以及在使用每一个术语的具体的内容中的普通含义。本说明书中使用的实例,包括本文所讨论的任何术语的实例,仅是示例性的,并且绝不是限制本发明的或任何示例性术语的范围和意义。同样,本发明不限于本说明书中给出的各个实施例。
图1是根据本发明的各个实施例的布局100的示图。图2是根据本发明的各个实施例的表示图1的布局100的图形的示图。参考图1和图2,下文引入一些属于和规则。
多重曝光或多重图案化技术(MPT)涉及通过顺序使用多个不同的掩模来形成图案。对于图1的说明,布局100包括图案101至104。在一些实施例中,图案101至104形成在集成电路(IC)的同一层上。
在一些实施例中,图案101至104为电路图案。例如,包括互连金属、通孔、接触件等的电路图案为后段制程(BEOL)互连层(未示出)中的互连图案或为有源器件层图案。
例如,对于包括65nm、45nm、28nm等的给定的IC技术,将任何两个图案之间的相应最小分离间隔定义为阈值间隔(在图1中标注为G0)。不能够使用单光掩模和曝光来图案化具有比阈值间隔G0小的间隔的两个图案。
如图2所示,图形分别包括表示图案101至104的节点111至114。“节点”(在一些实施例中也称为顶点)是图案的表示并且便于本发明的说明。在一些实施例中,也为了分析并且使用自动化工具将图案分配给各个光掩模的说明目的给出“节点”。
如果给定的层中的给定图案不能够被分配至与同一层中的非常邻近的图案不同的掩模(比阈值间隔G0更近),则存在冲突。对于图1的说明,图案101至104中的任何两个之间的间隔(除了图案101与104之间的间隔)比阈值间隔G0小。因此,存在图案101至104中的任何两个之间的冲突(除了图案101与104之间的间隔)。对于图2中的对应的说明,对应地在节点111至114之间构建指示比阈值间隔G0小的间隔的边缘201至204(也称为冲突边缘)。如图1和图2所示,图案101与104之间的间隔大于阈值间隔G0,并且因此,节点111与114之间未示出边缘。
根据阈值间隔G0规则,将图1的布局100中的图案101至104分配给不同的光掩模。除了通过顺序使用多个不同的掩模来形成图案之外,MPT还是布局划分方法,类似于用于图形理论中的布局划分的M着色工艺,其中,M是用于曝光单个层的光掩模的数量和/或曝光的次数。为了说明,如果使用表明双重图案化技术(DPT)的两个光掩模,则习惯性将图案称为两个“颜色类型”中的被分配的一个,其中颜色对应于光掩模分配。
在一些实施例中,MPT包括单、双重、三重和/或四重图案化(QP)技术。为了说明,基于四种颜色原理来使用QP技术,其中任何平面图形都着色有四种颜色以使得邻近的节点具有不同的颜色。
图3是根据本发明的各个实施例的使用图2中讨论的规则的用于四重图案化技术的图形。如图3所示,通过具有彼此连接的五个节点301至305的团集(clique)示出图形,其中,在一些实施例中,团集也称为5团集。在图形理论中,团集通常指示彼此连接的所有节点的子集。有效地,节点301至305表示五个图案,其中,它们中的任何两个的间隔都小于阈值间隔G0。
在一些实施例中,如果能够将布局设计的布局图案分配给N个不同的图案化的组,则将布局设计成为“可着N中颜色(N-colorable)”。或者说,如果彼此连接的节点能够具有彼此不同的N种颜色,则布局设计为“可着N中颜色”。对于图3的说明,由5团集指示的彼此连接的节点301至305不能够具有彼此不同的四种颜色。因此,图3中的5团集不是可着四种颜色。结果,5团集涉及QP违规。在一些实施例中,QP违规表明五个图案不能够使用QP技术。
图4是根据本发明的各个实施例的用于多重图案化冲突检查的系统400的示意图。图4中示例性地提供了用于系统400的不同组件的标号。在一些实施例中,系统400是用于例如包括图3中讨论的检查QP违规的设计规则检查方法的计算机器件。
在一些实施例中,例如,包括计算机的计算装置实施系统400。在一些其他的实施例中,通过电子设计自动化(EDA)工具实施系统400。为了说明,系统400包括处理器402和储存计算机程序代码406的永久计算机可读储存器件404。处理器402配置为执行储存在计算机可读储存器件404中的计算机程序代码406,从而用于执行诸如图5、图6和图9中示出的操作。
在一些实施例中,例如,通过中央处理单元(CPU)、多处理器、分布式处理系统、专用集成电路(ASIC)、合适的处理单元等来实施处理器402。为了说明的目的给出实施处理器402的前述电路或单元。实施处理器402的各种电路或单元都在本发明的预期范围内。
在一些实施例中,例如,通过电子器件、磁性器件、光学器件、电磁器件、红外器件、和/或半导体器件(或装置)等来实施计算机可读储存器件404。例如,计算机可读储存器件404包括半导体或存储器、磁带、移动计算机软盘、随机存取存储器(RAM)、只读存储器(ROM)、硬磁盘和/或光盘等。在使用光盘的一些实施例中,例如,计算机可读存储器件404包括只读光盘存储器(CD-ROM)、读/写光盘(CD-R/W)和/或数字视频光盘(DVD)。
在一些实施例中,计算机可读储存器件404储存与IC的布局相关联的数据418。在一些实施例中,计算机可读储存器件404还储存用于与外部机器或设备交互的指令407。为了说明,计算机可读存储器件404编码有指令407以用于与例如包括光刻工具的工具405进行交互,以用于基于数据418来制造半导体器件。
在一些实施例中,处理器402通过总线408电耦合至计算机可读储存器件404。因此,处理器402能够通过总线408与计算机可读储存器件404通信。
此外,在一些实施例中,处理器402还通过总线408与各个外围装置和/或外部设备通信。为了图4中的说明,处理器402通过总线408电耦合至I/O接口410。通过I/O接口410,处理器402还与包括诸如键盘、小型键盘、鼠标、跟踪球、触控板、触摸屏、光标方向键、显示器等的外围装置进行信息和命令通信。各种外围装置都在本发明的预期范围内。
在一些实施例中,处理器402还通过总线408耦合至网络接口412,以通过网络接口412访问网络414。通过网络414,处理器402和计算机可读储存器件404能够与外部设备和/或连接至网络414的设备通信。
在一些实施例中,通过无线网络接口和/或有线网络接口来实施网络接口412。例如,无线网络接口包括BLUETOOTH、WIFI、WIMAX、GPRS、WCDMA等。例如,有线网络接口包括ETHERNET、USB、IEEE-1394等。
为了说明的目的给出了图4中的系统400的配置。系统400的各种配置都在本发明的预期范围内。例如,在各个实施例中,通过永久计算机可读储存介质来代替计算机可读储存器件404,其中,利用前述用于进行诸如图5、图6和图9中将示出的操作的可执行的指令和/或计算机程序代码来编码该永久计算机可读储存介质。
图5示出了根据本发明的各个实施例的与图4的系统400相关联的设计规则检查方法的方法500的流程图。鉴于示例性的目的,通过图4中的系统400来执行方法500。用于执行方法500的各种系统都在本发明的预期范围内。
在一些实施例中,计算机可读储存器件404储存计算机程序代码406,以用于执行方法500。在一些实施例中,存储器件404还存储与IC的布局相关联的数据418,以用于执行方法500,并且存储在执行方法500期间生成的数据和/或值。为了说明,在执行方法500期间生成的数据和/或值包括图形420,如图4中所示并且将会在下文中讨论。
对于图4和图5的说明,处理器402配置为执行编码在计算机可读存储器件404中的计算机程序代码406,以执行例如包括下文中描述的操作505、510和515的操作中的一部分或全部。参考图4示例性地说明操作505、510和515。
在操作505中,多重图案化的图案被成组为图案的多个子集。在每一个子集中,图案配置为被分配至四个或更少的不同的掩模。相应地,在图4中,基于数据418,处理器402执行计算机程序代码406以生成与多重图案化的图案对应的数据。此外,处理器402执行计算机程序代码406以将与多重图案化的图案对应的数据分组为与图案的子集对应的数据。
然后,对于将要分配至三个或更少的不同的掩模的图案的子集中的至少一个,执行操作510。在操作510中,检查单重、双重和三重图案化违规中的至少一个。相应地,在图4中,处理器402执行计算机程序代码406以生成图形420来表示图案的子集。然后,处理器402执行计算机程序代码406以检查图形420是否具有单重、双重和三重图案化违规中的至少一个。
在一些实施例中,单重图案化(SP)违规表明图形420具有以上所讨论的至少一个边缘。为了说明,图4中的图形420表示SP图案,并且处理器402执行计算机程序代码406以确定图形420是否生成有SP违规。当图形420具有边缘时,处理器402执行计算机程序代码406以确定出现SP违规。
在一些实施例中,双重图案化(DP)违规表明图形420具有至少一个奇数回路。奇数回路表明多边形图形具有奇数条边。为了说明,图4中的图形420表示DP图案,并且处理器402执行计算机程序代码406以确定图形420是否生成有DP违规。当图形420具有奇数回路时,处理器402执行计算机程序代码406以确定出现DP违规。有效地,如果图形420不包含奇数回路,则图形420为可着2种颜色。
DP奇数是类似于用于图形理论中的布局划分的两种着色工艺的布局划分方法,其中,分配两种“颜色类型”。为了说明,将与边缘连接的邻近的节点分配不同的颜色。将层上的每一个图案都分配第一或第二颜色,这表明通过第一光掩模形成第一颜色的一些图案,通过第二光掩模形成第二颜色的一些图案。
在一些实施例中,三重图案化(TP)违规表明图形420具有不带有安全独立节点的奇数回路。为了说明,安全独立节点是不直接连接至任何其他的奇数回路的另一独立节点的独立节点。为了说明,图4中的图形420表示TP图案,并且处理器402执行计算机程序代码406以确定图形420是否生成有TP违规。当图形420具有不带有安全独立节点的奇数回路时,处理器402执行计算机程序代码406以确定出现TP违规。
然后,对于将要分配至四个不同的掩模的图案的子集中的其他部分,执行操作515。在操作515中,检查QP违规。在一些实施例中,QP违规表明图形420具有5团集,并且5团集定义QP图案的邻近的五个图案中的任意两个之间的每一个间距都小于阈值间隔G0。
以上图5中所示包括示例性操作,但是没有必要以所示出的顺序执行该操作。根据本发明的各个实施例的精神和范围,可以视情况添加、替换、重排和/或消除操作。
图6是根据本发明的各个实施例的用于执行图5中的操作515的方法的流程图。为了易于理解,图6中类似元件标记有与图5的实施例相同的参考标号。
在操作605中,在布局内的每一个单元中建立QP图案的图形,并且检查是否存在每一个单元中都包含5团集的子图,下文将参考图7进行阐释。图7是根据本发明的一些实施例的将要在图6中的操作605中被检查的不具有5团集的单元的子图700。为了说明,子图700包括表示单元内的QP图案的节点701至706。节点701与704之间的间距大于阈值间隔G0,并且因此,节点701和704之间不具有冲突边缘。节点702与706之间的间距大于阈值间隔G0,并且因此,节点702和706之间不具有冲突边缘。在图7中,不存在示出彼此连接的五个节点的5团集。结果,如图7所示,子图700中不存在5团集。
子图700中不具有5团集,与子图700相关联的QP图案可着四种颜色。为了说明,利用第一颜色类型对节点702和706进行着色。利用第二颜色类型对节点701和704进行着色。利用第三颜色类型对节点703进行着色。利用第四颜色类型对节点705进行着色。
相应地,在图4中,基于数据418,处理器402执行计算机程序代码406以生成与布局内的每一个单元中的QP图案对应的图形420。处理器402还执行计算机程序代码406以检查图形420是否具有包含导致QP违规的5团集的子图。当图形420具有包含布局内的每一个单元中的5团集的子图时,处理器402执行计算机程序代码406以确定出现QP违规。
对于图4和图7的说明,处理器402执行计算机程序代码406以从图形420中提取图7中的子图700,并且检查子图700是否包含5团集。
图8是根据本发明的一些其他实施例的将要在图6的操作605中被检查的具有5团集的单元的子图800。为了易于理解,图8中类似元件标记有与图7的实施例相同的参考标号。为了说明,子图800包括表示单元内的QP图案的节点801至806。节点801至805中的任意两个之间的每一个间距都小于阈值间隔G0。因此,节点801至805之间存在连接的冲突边缘。或者说,五个节点801至805彼此连接。因此,图8中的子图800中存在5团集。
相应地,在图4中,处理器402执行计算机程序代码406以从图形420中提取如图8所示的子图800。
再次参考图6,在操作610中,建立与两个邻接的单元的边界相关联的QP图案的图形,并且检查是否存在包含5团集的子图,下文将参考图9和图10进行阐释。相应地,在图4中,基于数据418,处理器402执行计算机程序代码406以生成与和两个邻接的单元的边界相关联的QP图案对应的图形420。处理器402还执行计算机程序代码406以检查图形420是否具有包含在布局内的任意两个邻接的单元的中导致QP违规的5团集的子图。
图9是根据本发明的各个实施例的用于在图6的操作610中检查两个邻接的单元中的5团集的方法的流程图。为了易于理解,图9中类似元件标记有与图6的实施例相同的参考标号。
在操作905中,对于QP图案的图形,检查是否存在连接至两个邻接的单元的至少一个内的邻近的节点的不少于三条的边。如果存在连接至邻近的节点的不少于三条的边,则执行操作930,其中确定QP图案为可着四种颜色。如果存在连接至邻近的节点的三条以上的边,则执行操作910。对应地,在图4中,处理器402执行计算机程序代码以检查图形420是否具有连接至两个邻接的单元的至少一个内的邻近的节点的三条以上的边。当不存在连接至两个邻接的单元的至少一个内的邻近的节点的三条以上的边时,处理器402执行计算机程序代码406以确定图形420为可着四种颜色。
在操作910中,检查两个邻接的单元的至少一个的边界区域内是否不存在3团集。3团集定义QP图案的邻近的三个图案中的任意两个之间的每一个间距都小于阈值间隔G0。如以上所讨论的,如果两个邻接的单元的至少一个的边界区域中不存在3团集,则执行操作930。如果两个邻接的单元的至少一个的边界区域中存在3团集,则执行操作915。对应地,在图4中,处理器402执行计算机程序代码以检查图形420是否具有两个邻接的单元的至少一个的边界区域中的3团集。有效地,5团集包括至少两个3团集。对于图8的说明,通过节点801至805来构建5团集,其中,节点801至803构成一个3团集,并且节点803至805构成另一3团集。因此,如果图形420不具有3团集,则图形420不具有5团集。
图10是根据本发明的各个实施例的将要在图9中的操910检查的不具有3团集的两个邻接的单元1010和1020的子图1000。在一些实施例中,处理器402执行计算机程序代码406以从图4的图形420中提取图10中的子图1000。
为了说明,在子图1000中,边缘连接至相应的节点1031、1032、1041、1042和1043。在单元1010中,边界区域1011具有两个节点1031和1032,并且不存在3团集。在单元1020中,边界区域1021具有三个节点1041至1043。通过一条边连接被比阈值间隔G0小的间隔分离的节1041和1042。通过另一条边连接被比阈值间隔G0小的间隔分离的节1042和1043。未通过任一条边连接被比阈值间隔G0大的间隔分离的节1043和1041。结果,三个节点1041至1043未构成3团集。
如以上所述,按照在操作910中所执行的,检查分别在两个邻接的单元1010和1020中的边界区域1011和1012不具有3团集。因此,如操作930中所执行的,子图1000中的QP图案确定为可着四种颜色。对于图10的说明,利用第一颜色类型对节点1031进行着色。利用第二颜色类型对节点1032进行着色。利用第三颜色类型对节点1041和1043进行着色。利用第四颜色类型对节点1042进行着色。
再次参考图9,在操作915中,当两个邻接的单元的每一个的边界区域中都存在3团集时,执行预先检查,在一些实施例中,在检查是否存在5团集之前,下文将参考图11、图12、图13和图14进行说明。相应地,在图4中,处理器402执行计算机程序代码406以在检查图形420中是否不存在5团集之前对图形420执行预先检查。下文参考图11和图12阐释预先检查。
图11是根据本发明的各个实施例的用于预先检查的两个邻接的单元1110和1120的子图1100。在一些实施例中,处理器402执行计算机程序代码406以从图4的图形420中提取图11中的子图1100。
对于图11的说明,单元1100具有边界区域1111,并且单元1120具有边界区域1121。在一些实施例中,边界区域1111和1121的每一个的宽度都等于阈值间隔G0的一半。在一些实施例中,执行图9的操作915中的预先检查以确定单元1110和1120中的一个是否具有3团集,下文将进行阐释。在又一实施例中,单元1110和1120中的一个中具有3团集,执行操作915中的预先检查以进一步确定是否沿着和/或与如图11所示的两个邻接的单元1110和1120之间的边界1170并行构建单元中的3团集的边缘中的一个,下文将进行阐释。
在各个实施例中,执行预先检查以确定单元1110和1120中的仅仅一个是否具有3团集。在各个实施例中,执行预先检查以确定是否沿着和/或与边界1170并行构建单元中的3团集的边缘中的仅仅一个。
为了说明,将三个节点1131至1133布置在单元1110的边界区域1111中并且不构成3团集。将四个节点1141至1144布置在单元1120的边界区域1121中,其中,通过如图11所示的三条边1161至1163连接节点1141至1143,并且构成3团集。单元1120中具有3团集,还执行预先检查以确定是否沿着和/或与边界1170并行构建三条边1161至1163中的仅仅一条。相应地生成预先检查结果。如图11所示,沿着和/或与边界1170并行地布置3团集的仅仅一条边1161。结果,根据图形理论,确定节点1131至1133和1141至1144中的任五个不能构建5团集。根据以上讨论的图形理论,不具有5团集,与子图1100相关联的QP图案可着四种颜色。
再次参考图9,在操作920中,基于预先检查结果,检查图形中是否不存在5团集。对于图9和图11的说明,基于以上在图11中讨论的预先检查结果,确定子图1100中不存在5团集,然后执行操作930。因此,子图1100中的QP图案确定为可着四种颜色。相应地,在图4中,处理器402执行计算机程序代码406以确定从图形420中提取的图11的子图1100中是否不存在5团集。
如以上所讨论的,子图1100中的QP图案确定为可着四种颜色。对于图11的说明,利用第一颜色类型对节点1131和1133进行着色。利用第二颜色类型对节点1132和1142进行着色。利用第三颜色类型对节点1141和1144进行着色。利用第四颜色类型对节点1143进行着色。
图12是根据本发明的各个实施例的用于预先检查的两个邻接的单元1210和1220的子图1200。在一些实施例中,处理器402执行计算机程序代码406以从图4的图形420中提取图12中的子图1200。
对于图12的说明,单元1210具有边界区域1211,并且单元1220具有边界区域1221。在一些实施例中,边界区域1211和1221的每一个的宽度都等于阈值间隔G0的一半。
为了说明,将三个节点1231至1233布置在单元1210的边界区域1211中并且不构成3团集。将四个节点1241至1244布置在单元1220的边界区域1221中,其中,通过如图12所示的三条边1261至1263连接节点1241至1243,并且构成3团集。单元1220中具有3团集,还执行预先检查以确定是否沿着和/或与边界1270并行构建三条边1261至1263中的仅仅一条。
对于图12的说明,沿着边界1270布置3团集的三条边1261至1263中的全部。在这种条件下,根据图形理论,确定子图1200中存在5团集。为了说明,节点1231、1232、1241、1242和1243构成5团集。根据以上讨论的图形理论,由于5团集,所以与子图1200相关联的QP图案不可着四种颜色。
再次参考图9,在操作920中,基于以上在图12中讨论的预先检查结果,确定子图1200中存在5团集,然后执行操作925。在操作925中,确定与子图1200相关联的QP图案具有QP违规。相应地,在图4中,处理器402执行计算机程序代码406以确定图形420中出现QP违规。
以上图9中所示包括示例性操作,但是没有必要以所示出的顺序执行该操作。根据本发明的各个实施例的精神和范围,可以视情况添加、替换、重排和/或消除操作。
图13是根据本发明的各个实施例的用于预先检查的两个邻接的单元1310和1320的子图1300。在一些实施例中,处理器402执行计算机程序代码406以从图4的图形420中提取图10中的子图1300。
对于图13的说明,单元1310具有边界区域1311,并且单元1320具有边界区域1321。在一些实施例中,边界区域1311和1321的每一个的宽度都等于阈值间隔G0的一半。在一些实施例中,执行图9的操作915中的预先检查以确定不同的单元1310和1320两者是否具有3团集。在又一实施例中,当单元1310和1320两者都具有3团集时,执行操作915中的预先检查以进一步确定单元1310中的3团集的边缘的单元1310和1320之间的边界上的任何突出(projection)是否与边界上的单元1320中的3团集的边缘的任何突出重叠,下文将进行阐释。
为了说明,将三个节点1331至1333布置在单元1310的边界区域1311中并且构成一个3团集。将三个节点1341至1343布置在单元1320的边界区域1321中并且构成其他3团集。单元1310和1320两者中具有3团集,还执行预先检查以确定单元1310中的一个3团集的边缘的任何突出是否与单元1320中的其他3团集的边缘的任何突出重叠。
如图13所示,通过三条边1351至1353连接节点1331至1333,并且通过三条边1361至1363连接节点1341至1343。单元1310和1320之间的边界上的边缘1351至1353的突出未与相同边界上的边缘1361至1363的突出重叠。因此,根据图形理论,确定两个3团集不能构成5团集。或者说,子图1300中不存在5团集。不具有5团集,与子图1300相关联的QP图案可着四种颜色。
如以上所讨论的,子图1300中的QP图案确定为可着四种颜色。对于图13的说明,利用第一颜色类型对节点1333和1343进行着色。利用第二颜色类型对节点1331进行着色。利用第三颜色类型对节点1341进行着色。利用第四颜色类型对节点1332和1342进行着色。
图14是根据本发明的各个实施例的用于预先检查的两个邻接的单元1410和1420的子图1400。在一些实施例中,处理器402执行计算机程序代码406以从图4的图形420中提取图10中的子图1400。
对于图14的说明,单元1410具有边界区域1411,并且单元1420具有边界区域1421。在一些实施例中,边界区域1411和1421的每一个的宽度都等于阈值间隔G0的一半。为了说明,将三个节点1431至1433布置在单元1410的边界区域1411中并且构成一个3团集。将三个节点1441至1443布置在单元1420的边界区域1421中并且构成其他3团集。单元1410和1420两者中具有3团集,还执行预先检查以确定单元1410中的一个3团集的边缘的任何突出是否与单元1420中的其他3团集的边缘的任何突出重叠。
如图14所示,通过三条边1451至1453连接节点1431至1433,并且通过三条边1461至1463连接节点1441至1443。单元1410和1420之间的边界上的边缘1451至1453的突出与相同边界上的边缘1461至1463的突出重叠。因此,根据图形理论,确定两个3团集能构成5团集。基于预先检查结果,在图9的操作920中,为了说明,确定子图1400中存在5团集。具有5团集,与子图1400相关联的QP图案不可着四种颜色。之后,如以上讨论的在操作925中,确定与子图1400相关联的QP图案具有QP违规。
在操作925之后,参考图6。或者说,当确定QP违规时,然后执行操作615。在操作615中,修改布局以排除操作605或610中所讨论的包含5团集的子图,以避免QP违规,下文将参考图15进行阐释。相应地,在图4中,在一些实施例中,处理器402执行计算机程序代码406以修改与布局对应的数据418来避免QP违规。在一些实施例中,处理器402执行计算机程序代码406以修改图形420来排除操作605或610中所讨论的包含5团集的子图。
图15是示出了根据本发明的各个实施例的图14中的间隔单元1410和1420的子图1500。在一些实施例中,两个邻接的单元1410和1420彼此间隔开。在又一实施例中,两个邻接的单元1410和1420彼此间隔开,间隔等于或大于阈值间隔G0。
在一些实施例中,单元1410中的QP图案与单元1420中的QP图案间隔开,间隔等于或大于阈值间隔G0。有效地,在放置和布线(P&R)工艺期间,在两个邻接的单元1410和1420之间添加放置限制,以使两个邻接的单元1410和1420彼此间隔开。
对于图15的说明,节点1431和1432与节点1441、1442和1443间隔开,其中,之间的间距大于阈值间隔G0。在一些实施例中,节点1431至1433和1441至1444的两个最近的节点彼此间隔开,间隔等于或大于阈值间隔G0。当节点1431和1432与节点1441、1442和1443间隔开时,如图15所示,不行5团集。因此,节点1431至1433和1441至1444可着四种颜色。为了说明,利用第一颜色类型对节点1431和1444进行着色。利用第二颜色类型对节点1432和1441进行着色。利用第三颜色类型对节点1433和1442进行着色。利用第四颜色类型对节点1443进行着色。
相应地,在图4中,在一些实施例中,处理器402执行计算机程序代码406以基于前述修改的数据418再生成图形420。处理器402执行计算机程序代码406以从再生成的图形420中提取子图1500。此外,在一些实施例中,基于以上所讨论的修改的数据418,处理器402执行指令407以与工具405交互来制造半导体器件。
鉴于以上所述,在本发明中提供了用于多重图案化技术(MPT)的设计规则检查方法。结果,例如,基于单元的邻接的条件,设计规则检查能够被执行以用于确定QP违规。
在一些实施例中,公开的方法包括:确定是否存在表示集成电路(IC)的布局的多重图案化的图案的至少五个邻近的图案的任何两个之间的每一个间隔都小于阈值间隔的冲突图形;以及如果存在冲突图形,则修改多重图案化的图案以排除由冲突图形表示的图案,以用于IC的制造。
还公开了一种包括存储器件和处理器的系统。存储器件配置为存储计算机程序代码。处理器配置为执行存储器件中的计算机程序代码以用于:基于与集成电路(IC)的布局对应的数据,建立表示多重图案化的图案的图形;检查图形是否包括表示多重图案化的图案的至少五个邻近的图案的任何两个之间的每一个间隔都小于阈值间隔的子图;以及当图形包括该子图时,修改图形以排除该子图,以用于IC的制造。
还公开了一种包括计算机可执行指令的永久计算机可读介质,当由处理器执行所述计算机可执行指令时,使得所述处理器进行一种方法。方法包括:将集成电路(IC)的布局的多重图案化图案成组为将要被分配至多个不同的掩模的图案的多个子集;对于将要被分配至包括四个不同掩模的掩模的图案的子集的每一个,建立包括四重图案化的(QP)图案的图形;检查图形是否包括冲突图形,其中QP图案的邻近的五个图案的任意两个之间的每一个间隔都小于阈值间隔;以及当图形包括冲突图形时,修改布局以排除冲突图形,以用于制造IC。
本发明的实施例提供了一种用于多重图案化技术的设计规则检查的方法,包括:确定是否存在表示集成电路(IC)的布局的多重图案化的图案的至少五个邻近的图案中的任意两个之间的每一个间隔都小于阈值间隔的冲突图形;以及如果存在所述冲突图形,则修改所述多重图案化的图案以排除由所述冲突图形表示的图案,以用于所述集成电路的制造。
根据本发明的一个实施例,其中,通过将要被分配至四个不同掩模的四重图案化的(QP)图案来实施所述多重图案化的图案。
根据本发明的一个实施例,其中,确定是否存在所述冲突图形包括:确定所述冲突图形是否包括子图,其中,所述子图表示两个邻接的单元的至少一个中的四重图案化的(QP)图案的三个邻近的图案中的任意两个之间的每一个间隔都小于所述阈值间隔。
根据本发明的一个实施例,其中,如果所述冲突图形包括所述子图,则所述方法还包括:确定是否沿着和/或与所述两个邻接的单元之间的边界并行地构建将四重图案化的图案的三个邻近的图案彼此连接的边中的一条。
根据本发明的一个实施例,其中,如果所述冲突图形包括所述子图,则所述方法还包括:确定所述两个邻接的单元之间的边界上的在所述两个邻接的单元的一个中将四重图案化的图案的三个邻近的图案彼此连接的边的至少一个突出是否与同一边界上的在所述两个邻接的单元的另一个中将四重图案化的图案的三个邻近的图案彼此连接的边的至少一个突出重叠。
根据本发明的一个实施例,其中,修改所述多重图案化的图案包括:在两个邻接的单元中,将多重图案化的图案的至少两个最近的图案彼此间隔开,间隔等于或大于所述阈值间隔。
根据本发明的一个实施例,其中,修改所述多重图案化的图案包括:将每一个都包括通过每一条都具有比所述阈值间隔小的间隔的边彼此连接的三个邻近的四重图案化的(QP)图案的两个邻接的单元彼此间隔开。
本发明的实施例还提供了一种用于多重图案化技术的设计规则检查的系统,包括:存储器件,配置为存储计算机程序代码;以及处理器,配置为执行所述存储器件中的计算机程序代码以用于:基于与集成电路(IC)的布局对应的数据,建立表示多重图案化的图案的图形;检查所述图形是否包括表示所述多重图案化的图案的至少五个邻近的图案中的任意两个之间的每一个间隔都小于阈值间隔的子图;以及当所述图形包括所述子图时,修改所述图形以排除所述子图,以用于所述集成电路的制造。
根据本发明的一个实施例,其中,对于建立所述图形,所述处理器还配置为执行所述计算机程序代码以用于:在所述布局内的每一个单元中或在所述布局内的邻接的单元中建立表示四重图案化的(QP)图案的图形。
根据本发明的一个实施例,其中,对于检查所述图形是否包括所述子图,所述处理器还配置为执行所述计算机程序代码以用于:在所述布局内的每一个单元中或在所述布局内的两个邻接的单元中检查所述图形是否包括所述子图。
根据本发明的一个实施例,其中,对于在所述布局内的两个邻接的单元中检查所述图形是否包括所述子图,所述处理器还配置为执行所述计算机程序代码以用于:确定所述图形是否包括第一子图,其中,所述第一子图表示所述两个邻接的单元的至少一个中的四重图案化的(QP)图案的三个邻近的图案中的任意两个之间的每一个间隔都小于所述阈值间隔。
根据本发明的一个实施例,其中,当所述图形包括所述第一子图时,所述处理器还配置为执行所述计算机程序代码以用于:确定是否沿着和/或与所述两个邻接的单元之间的边界并行地构建将四重图案化的图案的三个邻近的图案彼此连接的边中的一条。
根据本发明的一个实施例,其中,当所述图形包括所述第一子图时,所述处理器还配置为执行所述计算机程序代码以用于:确定所述两个邻接的单元之间的边界上的在所述两个邻接的单元的一个中将四重图案化的图案的三个邻近的图案彼此连接的边的任何突出是否与同一边界上的在所述两个邻接的单元的另一个中将四重图案化的图案的三个邻近的图案彼此连接的边的任何突出重叠。
根据本发明的一个实施例,其中,对于修改所述图形,所述处理器还配置为执行所述计算机程序代码以用于:将所述图形中的两个邻接的单元彼此间隔开,其中,所述两个邻接的单元中的每一个都包括通过边彼此连接的三个邻近的多重图案化的图案。
本发明的实施例还提供了一种用于多重图案化技术的设计规则检查的系统,所述系统具有包括计算机可执行指令的永久计算机可读介质,当由处理器执行所述计算机可执行指令时,使得所述处理器进行一种方法,所述方法包括:将集成电路(IC)的布局的多重图案化的图案成组为将要被分配至多个不同的掩模的图案的多个子集;对于将要被分配至包括四个不同掩模的掩模的图案的子集中的每一个,建立包括四重图案化的(QP)图案的图形;检查所述图形是否包括冲突图形,其中,所述四重图案化的图案的邻近的五个图案中的任意两个之间的每一个间隔都小于阈值间隔;以及当所述图形包括所述冲突图形时,修改所述布局以排除所述冲突图形,以用于制造所述集成电路。
根据本发明的一个实施例,其中,检查所述图形是否包括所述冲突图形包括:在所述布局内的每一个单元中或在所述布局内的两个邻接的单元中检查所述图形是否包括所述冲突图形。
根据本发明的一个实施例,其中,在所述布局内的两个邻接的单元中检查所述图形是否包括所述冲突图形包括:确定所述图形是否包括第一冲突图形,其中,所述第一冲突图形表示所述两个邻接的单元的至少一个中的四重图案化的图案的三个邻近的图案中的任意两个之间的每一个间隔都小于所述阈值间隔。
根据本发明的一个实施例,其中,当所述图形包括所述第一冲突图形时,在所述布局内的两个邻接的单元中检查所述图形是否包括所述冲突图形还包括:确定是否沿着和/或与所述两个邻接的单元之间的边界并行地构建将所述四重图案化的图案的三个邻近的图案彼此连接的边中的一条。
根据本发明的一个实施例,其中,当所述图形包括所述第一冲突图形时,在所述布局内的两个邻接的单元中检查所述图形是否包括所述冲突图形还包括:确定所述两个邻接的单元之间的边界上的在所述两个邻接的单元的一个中将四重图案化的图案的三个邻近的图案彼此连接的边的任何突出是否与同一边界上的在所述两个邻接的单元的另一个中将四重图案化的图案的三个邻近的图案彼此连接的边的任何突出重叠。
根据本发明的一个实施例,其中,修改所述布局包括:将所述布局中的两个邻接的单元彼此间隔开,其中,所述两个邻接的单元中的每一个都包括通过每一条都具有比所述阈值间隔小的间隔的边彼此连接的三个邻近的四重图案化的图案。
以上论述了若干实施例的部件,使得本领域的技术人员可以更好地理解本发明的各个实施例。本领域技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他的处理和结构以用于达到与本发明所介绍实施例相同的目的和/或实现相同优点。本领域技术人员也应该意识到,这些等效结构并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种用于多重图案化技术的设计规则检查的方法,包括:
确定是否存在表示集成电路(IC)的布局的多重图案化的图案的至少五个邻近的图案中的任意两个之间的每一个间隔都小于阈值间隔的冲突图形;以及
如果存在所述冲突图形,则修改所述多重图案化的图案以排除由所述冲突图形表示的图案,以用于所述集成电路的制造。
2.根据权利要求1所述的方法,其中,通过将要被分配至四个不同掩模的四重图案化的(QP)图案来实施所述多重图案化的图案。
3.根据权利要求1所述的方法,其中,确定是否存在所述冲突图形包括:
确定所述冲突图形是否包括子图,其中,所述子图表示两个邻接的单元的至少一个中的四重图案化的(QP)图案的三个邻近的图案中的任意两个之间的每一个间隔都小于所述阈值间隔。
4.根据权利要求3所述的方法,其中,如果所述冲突图形包括所述子图,则所述方法还包括:
确定是否沿着和/或与所述两个邻接的单元之间的边界并行地构建将四重图案化的图案的三个邻近的图案彼此连接的边中的一条。
5.根据权利要求3所述的方法,其中,如果所述冲突图形包括所述子图,则所述方法还包括:
确定所述两个邻接的单元之间的边界上的在所述两个邻接的单元的一个中将四重图案化的图案的三个邻近的图案彼此连接的边的至少一个突出是否与同一边界上的在所述两个邻接的单元的另一个中将四重图案化的图案的三个邻近的图案彼此连接的边的至少一个突出重叠。
6.根据权利要求1所述的方法,其中,修改所述多重图案化的图案包括:
在两个邻接的单元中,将多重图案化的图案的至少两个最近的图案彼此间隔开,间隔等于或大于所述阈值间隔。
7.根据权利要求1所述的方法,其中,修改所述多重图案化的图案包括:
将每一个都包括通过每一条都具有比所述阈值间隔小的间隔的边彼此连接的三个邻近的四重图案化的(QP)图案的两个邻接的单元彼此间隔开。
8.一种用于多重图案化技术的设计规则检查的系统,包括:
存储器件,配置为存储计算机程序代码;以及
处理器,配置为执行所述存储器件中的计算机程序代码以用于:
基于与集成电路(IC)的布局对应的数据,建立表示多重图案化的图案的图形;
检查所述图形是否包括表示所述多重图案化的图案的至少五个邻近的图案中的任意两个之间的每一个间隔都小于阈值间隔的子图;以及
当所述图形包括所述子图时,修改所述图形以排除所述子图,以用于所述集成电路的制造。
9.一种用于多重图案化技术的设计规则检查的系统,所述系统具有包括计算机可执行指令的永久计算机可读介质,当由处理器执行所述计算机可执行指令时,使得所述处理器进行一种方法,所述方法包括:
将集成电路(IC)的布局的多重图案化的图案成组为将要被分配至多个不同的掩模的图案的多个子集;
对于将要被分配至包括四个不同掩模的掩模的图案的子集中的每一个,建立包括四重图案化的(QP)图案的图形;
检查所述图形是否包括冲突图形,其中,所述四重图案化的图案的邻近的五个图案中的任意两个之间的每一个间隔都小于阈值间隔;以及
当所述图形包括所述冲突图形时,修改所述布局以排除所述冲突图形,以用于制造所述集成电路。
10.根据权利要求9所述的系统,其中,检查所述图形是否包括所述冲突图形包括:
在所述布局内的每一个单元中或在所述布局内的两个邻接的单元中检查所述图形是否包括所述冲突图形。
CN201710023966.0A 2016-03-01 2017-01-13 用于多重图案化技术的设计规则检查的方法和系统 Active CN107145618B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/057,999 US9971863B2 (en) 2016-03-01 2016-03-01 Rule checking for multiple patterning technology
US15/057,999 2016-03-01

Publications (2)

Publication Number Publication Date
CN107145618A true CN107145618A (zh) 2017-09-08
CN107145618B CN107145618B (zh) 2021-02-26

Family

ID=59723715

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710023966.0A Active CN107145618B (zh) 2016-03-01 2017-01-13 用于多重图案化技术的设计规则检查的方法和系统

Country Status (3)

Country Link
US (2) US9971863B2 (zh)
CN (1) CN107145618B (zh)
TW (1) TWI597616B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111435656A (zh) * 2019-01-14 2020-07-21 中芯国际集成电路制造(上海)有限公司 标准单元图形的筛选方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9971863B2 (en) * 2016-03-01 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rule checking for multiple patterning technology
US10521545B2 (en) * 2016-04-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Placement constraint method for multiple patterning of cell-based chip design
US10318698B2 (en) * 2016-12-14 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for assigning color pattern
KR102636095B1 (ko) * 2016-12-16 2024-02-13 삼성전자주식회사 쿼드러플 패터닝 리소그래피를 위한 집적 회로, 상기 집적 회로의 설계를 위한 컴퓨팅 시스템 및 컴퓨터 구현 방법
US10311201B2 (en) * 2017-08-07 2019-06-04 Globalfoundries Inc. Alignment key design rule check for correct placement of abutting cells in an integrated circuit
US10509881B2 (en) * 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for coloring circuit layout and system for performing the same
US10810348B1 (en) 2019-07-15 2020-10-20 International Business Machines Corporation Constructing colorable wiring layouts with wide wires and sandwich rules
CN111984796B (zh) * 2020-07-31 2022-11-04 西安理工大学 一种基于规范知识图谱ifc模型的自动合规性检查方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030203644A1 (en) * 2002-04-26 2003-10-30 Coursey Belford T. Methods for preventing cross-linking between multiple resists and patterning multiple resists
CN1530752A (zh) * 2003-02-20 2004-09-22 数字技术股份有限公司 用于提供基于任务的自动化的光刻掩模缺陷适印性分析的系统和方法
US6811853B1 (en) * 2000-03-06 2004-11-02 Shipley Company, L.L.C. Single mask lithographic process for patterning multiple types of surface features
US6951701B2 (en) * 2001-08-21 2005-10-04 Asml Masktools B.V. Method for improved lithographic patterning utilizing multiple coherency optimized exposures and high transmission attenuated PSM
US20070234258A1 (en) * 2006-03-28 2007-10-04 National Tsing Hua University Method for post-routing redundant via insertion in integrated circuit layout
CN102521425A (zh) * 2011-10-25 2012-06-27 清华大学 版图二划分方法
CN102542099A (zh) * 2010-12-22 2012-07-04 台湾积体电路制造股份有限公司 用于多重图案化技术的单元布局
CN102623423A (zh) * 2011-01-26 2012-08-01 旺宏电子股份有限公司 集成电路图案及多重图案化方法
US20120221284A1 (en) * 2011-02-24 2012-08-30 New York University Architecture, system, method, and computer-accessible medium for partial-scan testing
CN103514314A (zh) * 2012-06-28 2014-01-15 台湾积体电路制造股份有限公司 用于多重图案化集成电路的布局方法和系统
US20150040077A1 (en) * 2013-07-31 2015-02-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
CN104765900A (zh) * 2014-01-07 2015-07-08 台湾积体电路制造股份有限公司 无多图案化冲突的集成电路设计
CN104951584A (zh) * 2014-03-31 2015-09-30 台湾积体电路制造股份有限公司 用于多重图案化组分配条件的布局检查系统

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US9842185B2 (en) * 2015-08-21 2017-12-12 Qualcomm Incorporated Systems and methods for group constraints in an integrated circuit layout
US10395001B2 (en) * 2015-11-25 2019-08-27 Synopsys, Inc. Multiple patterning layout decomposition considering complex coloring rules
US10268791B2 (en) * 2015-12-11 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multi-patterning
US9971863B2 (en) * 2016-03-01 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rule checking for multiple patterning technology

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6811853B1 (en) * 2000-03-06 2004-11-02 Shipley Company, L.L.C. Single mask lithographic process for patterning multiple types of surface features
US6951701B2 (en) * 2001-08-21 2005-10-04 Asml Masktools B.V. Method for improved lithographic patterning utilizing multiple coherency optimized exposures and high transmission attenuated PSM
US20030203644A1 (en) * 2002-04-26 2003-10-30 Coursey Belford T. Methods for preventing cross-linking between multiple resists and patterning multiple resists
CN1530752A (zh) * 2003-02-20 2004-09-22 数字技术股份有限公司 用于提供基于任务的自动化的光刻掩模缺陷适印性分析的系统和方法
TWI427429B (zh) * 2003-02-20 2014-02-21 Synopsys Inc 提供任務型自動化微影光罩缺陷可印分析之方法
US20070234258A1 (en) * 2006-03-28 2007-10-04 National Tsing Hua University Method for post-routing redundant via insertion in integrated circuit layout
CN102542099A (zh) * 2010-12-22 2012-07-04 台湾积体电路制造股份有限公司 用于多重图案化技术的单元布局
CN102623423A (zh) * 2011-01-26 2012-08-01 旺宏电子股份有限公司 集成电路图案及多重图案化方法
US20120221284A1 (en) * 2011-02-24 2012-08-30 New York University Architecture, system, method, and computer-accessible medium for partial-scan testing
CN102521425A (zh) * 2011-10-25 2012-06-27 清华大学 版图二划分方法
CN103514314A (zh) * 2012-06-28 2014-01-15 台湾积体电路制造股份有限公司 用于多重图案化集成电路的布局方法和系统
US20150040077A1 (en) * 2013-07-31 2015-02-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
CN104765900A (zh) * 2014-01-07 2015-07-08 台湾积体电路制造股份有限公司 无多图案化冲突的集成电路设计
CN104951584A (zh) * 2014-03-31 2015-09-30 台湾积体电路制造股份有限公司 用于多重图案化组分配条件的布局检查系统

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
LIN-JUN WANG 等: ""Optical Characteristics of Nano-crystalline Diamond Films as X-ray Lithography Masks for Integrated Circuit Fabrication"", 《IEEE XPLORE》 *
何良辰 等: ""光刻机掩膜台微动台调平控制系统设计"", 《控制系统》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111435656A (zh) * 2019-01-14 2020-07-21 中芯国际集成电路制造(上海)有限公司 标准单元图形的筛选方法
CN111435656B (zh) * 2019-01-14 2022-11-22 中芯国际集成电路制造(上海)有限公司 标准单元图形的筛选方法

Also Published As

Publication number Publication date
TW201732659A (zh) 2017-09-16
CN107145618B (zh) 2021-02-26
TWI597616B (zh) 2017-09-01
US9971863B2 (en) 2018-05-15
US20170255740A1 (en) 2017-09-07
US10643017B2 (en) 2020-05-05
US20180239862A1 (en) 2018-08-23

Similar Documents

Publication Publication Date Title
CN107145618A (zh) 用于多重图案化技术的设计规则检查的方法和系统
CN107025320B (zh) 考虑复杂着色规则的多重图案化布局分解
CN104765900B (zh) 无多图案化冲突的集成电路设计
US8645877B2 (en) Multi-patterning method
US9471744B2 (en) Triple-pattern lithography layout decomposition
US9390223B2 (en) Method of determining whether a layout is colorable
TWI587164B (zh) 積體電路元件之多重圖案化方法
US8875065B1 (en) Triple-pattern lithography layout decomposition validation
CN107016149A (zh) 用于多重样式化的方法
CN109426694B (zh) 合并的柱结构、导线结构及其布局图的生成方法和系统
US10274829B2 (en) Multiple patterning decomposition and manufacturing methods for IC
US9165104B1 (en) Method and apparatus for identifying double patterning color-seeding violations
US9026958B1 (en) Method and system for double patterning technology (DPT) odd loop visualization for an integrated circuit layout
US8910094B2 (en) Retargeting semiconductor device shapes for multiple patterning processes
US11170149B2 (en) Placement constraint method for multiple patterning of cell-based chip design
TWI536093B (zh) 產生方法,儲存媒體及資訊處理裝置
US20220043957A1 (en) Method of forming merged pillar structures and method of generating layout diagram of same
US10878167B2 (en) Method of determining colorability of a semiconductor device and system for implementing the same
US10296695B1 (en) Method, system, and computer program product for implementing track patterns for electronic circuit designs
US10055531B2 (en) Layout checking method for advanced double patterning photolithography with multiple spacing criteria
Wei et al. LESAR: A dynamic line-end spacing aware detailed router
Suto et al. Declarative Language for Geometric Pattern Matching in VLSI Process Rule Modeling

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant