TWI597616B - 驗證多重樣式化技術的設計方法、驗證系統以及非暫時性電腦可讀取媒體 - Google Patents

驗證多重樣式化技術的設計方法、驗證系統以及非暫時性電腦可讀取媒體 Download PDF

Info

Publication number
TWI597616B
TWI597616B TW105133641A TW105133641A TWI597616B TW I597616 B TWI597616 B TW I597616B TW 105133641 A TW105133641 A TW 105133641A TW 105133641 A TW105133641 A TW 105133641A TW I597616 B TWI597616 B TW I597616B
Authority
TW
Taiwan
Prior art keywords
pattern
adjacent
sub
patterns
quadruple
Prior art date
Application number
TW105133641A
Other languages
English (en)
Other versions
TW201732659A (zh
Inventor
徐孟楷
陳文豪
侯元德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI597616B publication Critical patent/TWI597616B/zh
Publication of TW201732659A publication Critical patent/TW201732659A/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

驗證多重樣式化技術的設計方法、驗證系統以及非暫時性電腦可讀取媒體
本發明實施例是有關於一種驗證設計方法、驗證系統以及非暫時性電腦可讀取媒體,且特別是有關於一種驗證多重樣式化技術的驗證設計方法、驗證系統以及非暫時性電腦可讀取媒體。
為了使用追求更多技術節點的製造裝備,已開發出多重曝光或多重樣式化技術製造技術(multi-patterning technology,MPT)。多重樣式化技術涉及通過連續使用多個不同罩幕來形成圖案。多重樣式化技術是一種佈局拆分方法(layout splitting method),其類似於以圖形理論進行佈局拆分的著色問題(a coloring problem for layout splitting in graph theory)。
本發明實施例的一種驗證多重樣式化技術的設計方法包括:確定是否存在衝突圖,所述衝突圖代表積體電路佈局的多重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及為了製造積體電路,若存在所述衝突圖,則修改(modifying)所述多重樣式化圖案,以排除由所述衝突圖代表的圖案。
本發明實施例的一種驗證系統,其包括存儲裝置與處理器。存儲裝置配置成用以存儲電腦程式碼。處理器配置成用以執行所述存儲裝置中的用於以下的所述電腦程式碼:基於對應於積體電路佈局的資料,建構(building)代表多重樣式化圖案的圖;驗證所述圖是否包括子圖,其中所述子圖代表所述多重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及為了製造積體電路,當所述圖包括所述子圖,則修改所述圖以排除子圖。
本發明實施例的一種非暫時性電腦可讀取媒體,其包括通過處理器執行會導致所述處理器實行方法的電腦可執行指令。所述方法包括:將積體電路佈局的多重樣式化圖案分類成多個圖案子集,所述多個圖案子集待分配至各種數目的不同罩幕;為了待分配至包括四個不同罩幕的罩幕的所述多個圖案子集的各者,建構包括四重樣式化(quadruple-patterning,QP)圖案的圖;驗證所述圖是否包括衝突圖,所述衝突圖中所述四重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及為了製造積體電路,當所述圖包括所述衝突圖,則修改所述佈局以排除所述衝突圖。
以下揭露內容提供用於實施所提供的標的之不同特徵的許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本揭露為目的。當然,這些僅僅為實例而非用以限制。舉例來說,於以下描述中,在第一特徵上方或在第一特徵上形成第二特徵可包括第二特徵與第一特徵形成為直接接觸的實施例,且亦可包括第二特徵與第一特徵之間可形成有額外特徵使得第二特徵與第一特徵可不直接接觸的實施例。為了簡單及清楚起見,各種特徵可任意地繪示為不同尺寸。此外,本揭露在各種實例中可重複使用裝置符號以及/或字母。裝置符號的重複使用是為了簡單及清楚起見,且並不代表所欲討論的各個實施例及/或配置本身之間的關係。
另外,為了易於描述附圖中所繪示的一個構件或特徵與另一組件或特徵的關係,本文中可使用例如「在。。。下」、「在。。。下方」、「下部」、「在、、、上方」、「上部」及類似術語的空間相對術語。除了附圖中所繪示的定向之外,所述空間相對術語意欲涵蓋裝置在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。
圖1是根據本發明的各種實施例的佈局100的示意圖。圖2是根據本發明的各種實施例的代表圖1的佈局100的圖的示意圖。將於下文中參照圖1與圖2介紹一些詞彙與方法。
多重曝光或多重樣式化技術製造技術涉及通過連續使用多個不同罩幕來形成圖形。為了在圖1中說明,佈局100包括圖案101、102、103、104。在一些實施例中,圖案101、102、103、104形成於積體電路的同一層上。
在一些實施例中,圖案101、102、103、104為電路圖案。電路圖案例如是包括內連金屬、通孔、接觸件等,其為後段導線(a back end of line (BEOL))內連層(未繪示)的內連圖案或主動元件層圖案。
對於諸如包括65 nm、45 nm、28 nm等的給定積體電路技術,任兩圖案之間的個別最小分隔間距定義為閥值間距(圖1中標記為G0)。無法使用單一光罩與曝光清楚地樣式化出兩者之間的間距小於閥值101-104的兩圖案。
如圖2中所繪示,圖包括節點111、112、113、114,其分別代表圖案 101、102、103、104。“節點”(在一些實施例中也稱為頂點)代表圖案且便於說明本發明實施例。在一些實施例中,“節點”也用於說明使用自動工具分析圖案以及將圖案分配至各種光罩的目的。
若給定層中的給定圖案與相同層中的各相鄰圖案(其與給定圖案之間的距離小於閥值間距G0)無法分配至不同罩幕,則存在衝突。為了在圖1中說明,圖案101、102、103、104中的任兩者之間的間距(除了圖案101與圖案104之間的間距)小於閥值間距G0。  因此,圖案101、102、103、104中的任兩者之間(除了圖案101與圖案104之間的間距)存在衝突。為了對應於圖2中說明,表示小於閥值間距G0的間距的邊201、202、203、204(也稱為衝突邊)對應地建構於節點111、112、113、114之間。如圖1與圖2中所示,圖案101與圖案104之間的間距大於閥值間距G0,因此節點111與節點114之間未繪示邊。
使用閥值間距G0規則將圖1的佈局100中的圖案101、102、103、104分配至不同光罩。除了通過連續使用多個不同罩幕形成圖案以外,多重樣式化技術也是一種佈局拆分方法,其類似於以圖形理論進行佈局拆分的M個著色步驟,其中M為用於曝光單一層的光罩數目及/或曝光數目。為了說明,若使用兩個光罩(其表示雙重樣式化技術(DPT)),其通常是指將兩種”顏色”中的一者分配至圖案,其中顏色對應於光罩分配。
在一些實施例中,多重樣式化技術包括單一、雙重、三重及/或四重樣式化(QP)技術。為了說明,根據四色原理使用四重樣式化技術,其中以相鄰節點具有不同顏色的方式使用四種顏色著色任何平面圖。
圖3是根據本發明的各種實施例的用於四重樣式化技術的圖,其使用如圖2中所述的規則。如圖3所示,在一些實施例中,圖為具有彼此連接的五個節點301、302、303、304、305的完整子集合(clique),此圖形又稱為5-完整子集合。在圖理論中,完整子集合通常表示彼此連接的所有節點的子集合(sub-set)。實際上,節點301、302、303、304、305代表五個圖案,其中五個圖案中的任兩者的間距小於閥值間距G0。
在一些實施例中,當佈局設計的佈局圖案可以被分配成N個不同樣式化族群,佈局設計也稱為“N個可著色(N-colorable)”。換句話說,當彼此連接的節點可以具有彼此不同的N種顏色,則佈局設計為“N個可著色”。為了在圖3中說明,通過5-完整子集合表示的彼此連接的節點301、302、303、304、305無法具有彼此不同的4種顏色。因此,圖3中的5-完整子集合不是4個可著色。因此,5-完整子集合涉及QP違規(QP violation)。在一些實施例中,QP違規表示五個圖案無法使用QP技術。
圖4是根據本發明的各種實施例的一種用於多重樣式化衝突驗證的系統400的示意圖。於圖4中示意性地提供用於系統400的不同構件的標記。在一些實施例中,系統400為用於設計規則驗證方法學的計算裝置,其例如包括圖3中所述的驗證QP違規。
在一些實施例中,計算裝置例如包括實現系統400的電腦。在一些其他實施例中,系統400被實現為電子設計自動化(EDA)工具。為了說明,系統400包括處理器402與存儲電腦程式碼406的非暫時性電腦可讀取存儲裝置404。處理器402配置成用以執行存儲於電腦可讀取存儲裝置404中的電腦程式碼406,以用於執行諸如圖5、圖6以及圖9所說明的操作。
在一些實施例中,處理器402例如是被實現為中央處理單元(CPU)、多功處理器、分散式處理系統、特殊應用積體電路(ASIC)、適當處理單元等。前述用以實現處理器402的電路或單元僅適用於說明目的。用以實現處理器402的各種電路或單元都在本發明的實施例的保護範圍內。
在一些實施例中,電腦可讀取存儲裝置404例如被實現為電子式、磁式、光學式、電磁式、紅外光式及/或半導體裝置(或設備)。舉例來說,電腦可讀取存儲裝置404包括半導體記憶體、磁帶、可移除式電腦磁片、隨機存取記憶體、唯讀記憶體、硬磁盤、光碟等。在使用光碟的實施例中,電腦可讀取存儲裝置404例如包括唯讀記憶光碟(CD-ROM)、讀取/寫入光碟(CD-R/W)、數位影音光碟(DVD)等。
在一些實施例中,電腦可讀取存儲裝置404存儲與積體電路佈局相關的資料418。在一些實施例中,電腦可讀取存儲裝置404也存儲用於與外部機器或裝備聯繫(interfacing)的指令407。為了說明,電腦可讀取存儲裝置404編碼有用於與包括諸如用於根據資料418製造半導體裝置的微影工具等工具405聯繫的指令407。
在一些實施例中,處理器402通過匯流排408電耦接電腦可讀取存儲裝置404。因此,處理器402能夠通過匯流排408與電腦可讀取存儲裝置404通訊。
再者,在一些實施例中,處理器402也通過匯流排408與各種周邊設備及/或外部裝備通訊。為了在圖4中說明,處理器402通過匯流排408電耦接I/O介面410。通過I/O介面410,處理器402也與周邊設備通訊與對周邊設備下達指令,周邊設備例如包括鍵盤、小鍵盤、滑鼠、軌跡球、軌跡墊、觸控螢幕、光標方向鍵、顯示器等。各種周邊設備都在本發明實施例的預期範圍之內。
在一些實施例中,處理器402還通過匯流排408與網路介面412耦接,以便通過網路介面412存取網路414。通過網路414,處理器402和電腦可讀取存儲裝置404能夠與連接到網路414的外部裝備及/或裝備進行通訊。
在一些實施例中,網路介面412被實現為無線網路介面及/或有線網路介面。所述無線網路介面例如包括藍牙、WIFI、WIMAX、GPRS、WCDMA等。有線網路介面例如包括乙太網、USB、IEEE-1394等。
圖4中的系統400的配置僅是出於說明目的。系統400的各種配置都在本發明實施例的預期範圍之內。舉例來說,在各種實施例中,使用編碼有上述可執行指令及/或用於實行諸如圖5、圖6和圖9所示的操作的電腦程式碼的非暫時性電腦可讀取媒體替換電腦可讀取存儲裝置404。
圖5繪示根據本發明的各種實施例的與圖4的系統400相關的設計規則驗證方法學的方法500流程圖。為了達到說明目的,由圖4中的系統400進行方法500。然而,用於進行方法500的各種系統皆在本發明實施例的預期範圍之內。
在一些實施例中,電腦可讀取存儲裝置404存儲用於進行方法500的電腦程式碼406。在一些實施例中,電腦可讀取存儲裝置404還存儲與積體電路佈局相關且用於進行方法500的資料418,且存儲進行方法500期間產生的資料及/或數值。為了說明,進行方法500期間產生的資料及/或數值包括如圖4所示且將於下文中進行說明的圖420。
為了在圖4和圖5中說明,處理器402是配置成用以執行編碼於電腦可讀取存儲裝置404中的電腦程式碼406,以進行部分或所有操作,所述操作例如包括下文所述的操作505、510和515。參考圖4以示例性地說明操作505、510和515。
在操作505中,多重樣式化圖案被分類成多個圖案子集。在各子組中,圖案被配置成用以被分配到四個或更少個不同罩幕。相應地,在圖4中,根據資料418,處理器402執行電腦程式碼406,以產生相應於多重樣式化圖案的數據。此外,處理器402執行電腦程式碼406以將對應於多重樣式化圖案的資料分類成對應為多個圖案子集的資料。
然後,對被分配到三個或更少個不同罩幕的圖案子集中的至少一者進行操作510。在操作510中,驗證單一、雙重以及三重樣式化違規中的至少一者。相應地,在圖4中,處理器402執行電腦程式碼406,以產生代表子集圖案的圖420。然後,處理器402執行電腦程式碼406,以驗證圖420是否具有單一、雙重以及三重樣式化違規中的至少一者。
在一些實施例中,單一樣式化(SP)違規表示圖420具有上述的至少一個邊。為了說明,圖4中的圖420代表SP圖案,以及處理器402執行電腦程式碼406以確定是否產生具有SP圖案的圖420。當圖420具有邊,處理器402執行電腦程式碼406以識別發生SP違規。
在一些實施例中,雙重樣式化(DP)違規表示圖420具有至少一個奇數迴路(loop)。奇數迴路表示具有奇數個邊的多邊圖。為了說明,圖4中的圖420代表DP圖案,以及處理器402執行電腦程式碼406以確定是否產生具有DP違規的圖420。當圖420具有奇數迴路,處理器402執行電腦程式碼406以識別發生DP違規。實際上,若圖420不包含奇數迴路,圖420為2個可著色。
DP技術為佈局拆分方法,其類似於以圖形理論進行佈局拆分的兩著色製程,其中將被分配兩種”顏色”。為了說明,以邊連接的兩相鄰節點將被分配至不同顏色。層上的各圖案被分配第一或第二顏色,其表示由第一光罩形成第一顏色的一些圖案,以及由第二光罩形成第二顏色的一些圖案。
在一些實施例中,三重樣式化(TP)違規表示圖420具有不具任何完整獨立節點(safe independent node)的奇數迴路。為了說明,完整獨立節點為獨立節點,其未直接連接任何其他奇數迴路的另一獨立節點。為了說明,圖4中的圖420代表TP圖案,以及處理器402執行電腦程式碼406以確定是否產生具有TP違規的圖420。當圖420具有不具完整獨立節點的奇數迴路,處理器402執行電腦程式碼406以識別發生TP違規。
接著,對將被分配至四個不同罩幕的其他圖案子集合進行操作515。在操作515中,驗證QP違規。在一些實施例中,QP違規表示圖420具有5-完整子集合,且5-完整子集合定義QP圖案的相鄰五個圖案中的任兩者之間的各分隔距離小於閥值間距G0。
圖5中的上述說明包括例示性操作,但不需依照所示順序進行操作。根據本發明的各種實施例的精神與範圍,可以適當地增加、替換、改變順序及/或刪除操作。
圖6是根據本發明的各種實施例的用於執行圖5中的操作515的方法流程圖。為了易於理解,圖6與圖5的實施例中的相同構件是使用相同符號。
如以下將參照圖7解釋,在操作605中,在佈局內的各單元中建立QP圖案的圖,且驗證各單元中是否存在包含5-完整子集合的子圖。圖7是根據本發明的一些實施例的不具有5-完整子集合的單元的子圖700,其將於圖6中的操作進行驗證。為了說明,子圖700包括代表單元內的QP圖案的節點701、702、703、704、705、706。節點701和節點704之間的分隔距離大於閥值間距G0,且因此節點701和節點704之間不存在衝突邊。節點702和節點706之間的分隔距離大於閥值間距G0,且因此節點702和節點706之間不存在衝突邊。在圖7中,不存在五個節點彼此連接的5-完整子集合。因此,如圖7所示的子圖700中不存在5-完整子集合。
子圖700中不存在5-完整子集合,因此與子圖700相關的QP圖案為4個可著色。為了說明,以第一種顏色著色節點702和節點706。以第二種顏色著色節點701和節點704。以第三種顏色著色節點703。以第四種顏色著色節點705。
相應地,在圖4中,根據資料418,處理器402執行電腦程式碼406以產生圖420,其對應於佈局內的各單元中的QP圖案。處理器402也執行電腦程式碼406以驗證圖420是否具有包含造成QP違規的5-完整子集合的子圖。當圖420具有包含佈局內的各單元中的5-完整子集合的子圖,處理器402執行電腦程式碼406以識別發生QP違規。
如圖4與圖7所示,處理器402執行電腦程式碼406,以由圖420擷取圖7中的子圖700,且驗證子圖700是否包含5-完整子集合。
圖8是根據本發明的其他一些實施例的具有5-完整子集合的單元的子圖,其將通過圖6中的操作進行驗證。為了易於理解,圖8中與圖7的實施例的相同構件是使用相同符號。為了說明,子圖800包括代表單元內的QP圖案的節點801、802、803、804、805、806。節點801、802、803、804、805中的任兩者之間的各分隔距離小於閥值間距G0。因此,存在連接節點801、802、803、804、805的衝突邊。換句話說,五個節點801、802、803、804、805彼此連接。因此,圖8所示的子圖800中存在5-完整子集合。
相應地,在圖4中,處理器402執行電腦程式碼406以由圖420擷取圖8中的子圖800。
請回參照圖6,在操作610中,建立與兩緊鄰單元的邊界相關的QP圖案的圖,且驗證是否存在包含5-完整子集合且將於下文中參照圖9與圖10進行說明的子圖。相應地,在圖4中,處理器402根據資料418執行電腦程式碼406以產生對應於與兩緊鄰單元的邊界相關的QP圖案的圖420。處理器402也執行電腦程式碼406,以驗證圖420是否在佈局內的任何兩緊鄰單元中具有包含會造成QP違規的5-完整子集合的子圖。
圖9是根據本發明的各種實施例的於圖6的操作中驗證兩緊鄰單元中的5-完整子集合的方法流程圖。為了易於理解,圖9中與圖6的實施例的相同構件是使用相同符號。
在操作905中,對於QP圖案的圖來說,驗證用以連接兩緊鄰單元中的至少一者內的相鄰節點的邊是否不超過三個。若連接相鄰節點的邊不超過三個,則進行操作930,其中QP圖案被識別為4個可著色。若連接相鄰節點的邊超過三個,則接著進行操作910。相應地,在圖4中,處理器402執行電腦程式碼406,以驗證圖420中用以連接兩緊鄰單元中的至少一者內的相鄰節點的邊是否超過三個。當用以連接兩緊鄰單元中的至少一者內的相鄰節點的邊不超過三個,處理器402執行電腦程式碼406以識別圖420為4個可著色。
在操作910中,驗證兩緊鄰單元的各者的邊界區域中是否不存在3-完整子集合。3-完整子集合定義QP圖案的相鄰三圖案中的任兩者之間的各分隔距離小於閥值間距G0。若兩緊鄰單元的各者的邊界區域中不存在3-完整子集合,則進行如上述的操作930。若兩緊鄰單元的各者的邊界區域中存在3-完整子集合,則接著進行操作915。相應地,在圖4中,處理器402執行電腦程式碼406以驗證圖420是否具有在兩緊鄰單元的各者的邊界區域中的3-完整子集合。實際上,5-完整子集合包括至少兩個3-完整子集合。為了在圖8中說明,5-完整子集合由節點801、802、803、804、805所建構,其中節點801、802、803構成一個3-完整子集合,以及節點803、804、805構成另一個3-完整子集合。因此,當圖420不具有3-完整子集合,則圖420不具有5-完整子集合。
圖10是根據本發明的各種實施例的不具有3-完整子集合的兩緊鄰單元1010、1020的子圖1000,其將於圖9的操作910中進行驗證。在一些實施例中,處理器402執行電腦程式碼406,以由圖4中的圖420擷取圖10中的子圖1000。
為了說明,在子圖1000中,邊連接個別節點1031、1032、1041、1042與1043。在單元1010中,邊界區域1011具有兩個節點1031與1032,且不具有3-完整子集合。在單元1020中,邊界區域1021具有三個節點1041、1042與1043。通過一個邊連接由小於閥值間距G0的間距隔開的節點1041與1042。通過另一個邊連接由小於閥值間距G0的間距隔開的節點1042與1043。由大於閥值間距G0的間距隔開的節點1043與1041未通過任何邊連接。因此,三個節點1041、1042與1043未構成3-完整子集合。
如同上述,如同於操作910中所進行,經驗證,分別位於兩個緊鄰單元1010與1020中的邊界區域1011與1021不具有3-完整子集合。因此,如同於操作930中所進行,子圖1000中的QP圖案被識別為4個可著色。為了在圖10中說明,以第一種顏色著色節點1031。以第二種顏色著色節點1032。以第三種顏色著色節點1041與1043。以第四種顏色著色節點1042。
請回參照圖9,在一些實施例中,在操作915中,當3-完整子集合存在於兩個緊鄰單元的各者的邊界區域中,則在驗證是否不存在5-完整子集合之前,進行將於下文中參照圖11、圖12、圖13與圖14說明的預先驗證。相應地,在圖4中,在驗證圖420中是否不存在5-完整子集合之前,處理器402執行電腦程式碼406以對圖420進行預先驗證。將參照圖11與圖12來解釋預先驗證。
圖11是根據本發明的各種實施例的用於預先驗證的兩緊鄰單元1110與1120的子圖1100。在一些實施例中,處理器402執行電腦程式碼406,以由圖4中的圖420擷取圖11中的子圖1100。
為了在圖11中說明,單元1110具有邊界區域1111,以及單元1120具有邊界區域1121。在一些實施例中,邊界區域1111與1121的各者的寬度等於閥值間距G0的一半。在一些實施例中,如同將於後文中所解釋,進行圖9的操作915中的預先驗證,以識別單元1110與1120中的一者是否具有3-完整子集合。在其他實施例中,如同將於後文中所解釋,通過單元1110與1120中的一者的3-完整子集合,進行操作915中的預先驗證以進一步識別單元中的3-完整子集合的一個邊是否建構成沿著及/或平行於如圖11中所示的兩緊鄰單元1110與1120之間的邊界1170。
在各種實施例中,進行預先驗證以識別是否單元1110與1120中僅有一者具有3-完整子集合。在各種實施例中,進行預先驗證以識別單元中的3-完整子集合中是否僅有一個邊建構成沿著及/或平行於邊界1170。
為了說明,三個節點1131、1132、1133配置於單元1110的邊界區域1111中且未構成3-完整子集合。如圖11中所繪示,四個節點1141、1142、1143、1144配置於單元1120的邊界區域1121中,其中節點1141、1142、1143通過三個邊1161、1162、1163連接且構成3-完整子集合。通過單元1120中的3-完整子集合,進一步進行預先驗證以識別三個邊1161、1162、1163中是否僅有一者建構成沿著及/或平行於邊界1170。因此,產生預先驗證結果。如同圖11中所示,3-完整子集合中僅有一個邊1161配置成沿著及/或平行於邊界1170。因此,根據圖形理論確定節點1131、1132、1133與1141、1142、1143、1144中的任五者無法構成5-完整子集合。根據前述的圖形理論,與子圖1100相關且不具有5-完整子集合的QP圖案為4個可著色。
請回參照圖9,在操作920中,根據預先驗證結果,驗證圖中是否不具有5-完整子集合。為了在圖9與圖11中說明,根據於圖11中所述的預先驗證結果,確定子圖1100中不具有5-完整子集合,且接著進行操作930。因此,子圖1100中的QP圖案被識別為4個可著色。相應地,在圖4中,處理器402執行電腦程式碼406以確定由圖420擷取的圖11中的子圖1100中是否具有5-完整子集合。
如同上述,子圖1100中的QP圖案被識別為4個可著色。為了在圖11中說明,以第一種顏色著色節點1131與1133。以第二種顏色著色節點1132與1142。以第三種顏色著色節點1141與1144。以第四種顏色著色節點1143。
圖12是根據本發明的各種實施例的用於預先驗證的兩緊鄰單元1210與1220的子圖1200。在一些實施例中,處理器402執行電腦程式碼406,以由圖4中的圖420擷取圖12中的子圖1200。
為了在圖12中說明,單元1210具有邊界區域1211,以及單元1220具有邊界區域1221。在一些實施例中,邊界區域1211與1221的各者的寬度等於閥值間距G0的一半。
為了說明,三個節點1231、1232、1233配置於單元1210的邊界區域1211中且未構成3-完整子集合。如圖12中所繪示,四個節點1241、1242、1243、1244配置於單元1220的邊界區域1221中,其中節點1241、1242、1243通過三個邊1261、1262、1263連接且構成3-完整子集合。通過單元1220中的3-完整子集合,進一步進行預先驗證以識別三個邊1261、1262、1263中是否僅有一者建構成沿著及/或平行於邊界1270。
為了在圖12中說明,3-完整子集合的所有三個邊1261、1262、1263都沿著邊界1270配置。在此情況下,根據圖形理論確定子圖1200中存在5-完整子集合。為了說明,節點1231、1232、1241、1242與1243構成5-完整子集合。根據前述的圖形理論,基於5-完整子集合,與子圖1200相關的QP圖案不是4個可著色。
請回參照圖9,在操作920中,根據圖12中所述的預先驗證結果,確定子圖1200中不具有5-完整子集合,且接著進行操作925。在操作925中,識別與子圖1200相關的QP圖案具有QP違規。相應地,在圖4中,處理器402執行電腦程式碼406,以識別於圖420中發生QP違規。
圖9中的上述說明包括例示性操作,但不需依照所示的順序進行操作。根據本發明的各種實施例的精神與範圍,可以適當地增加、替換、改變順序及/或刪除操作。
圖13是根據本發明的各種實施例的用於預先驗證的兩緊鄰單元1310與1320的子圖1300。在一些實施例中,處理器402執行電腦程式碼406,以由圖4中的圖420擷取子圖1300。
為了在圖13中說明,單元1310具有邊界區域1311,以及單元1320具有邊界區域1321。在一些實施例中,邊界區域1311與1321的各者的寬度等於閥值間距G0的一半。在一些實施例中,進行圖9的操作915中的預先驗證,以識別不同單元1310與1320是否皆具有3-完整子集合。在其他實施例中,當單元1310與1320皆具有3-完整子集合,進行操作915中的預先驗證,以進一步識別單元1310中的3-完整子集合的邊在單元1310與1320之間的邊界上的任何凸起是否重疊於單元1320中的3-完整子集合的邊在邊界上的任何凸起,其將於下文中解釋。
為了說明,三個節點1331、1332、1333配置於單元1310的邊界區域1311中且構成3-完整子集合。三個節點1341、1342、1343配置於單元1320的邊界區域1321中且構成其他3-完整子集合。通過單元1310與1320中的3-完整子集合,進一步進行預先驗證以識別單元1310中的一個3-完整子集合的邊的任何凸起是否重疊於單元1320中的其他3-完整子集合的邊的任何凸起。
如圖13中所繪示,通過三個邊1351、1352、1353連接節點1331、1332、1333,且通過三個邊1361、1362、1363連接節點1341、1342、1343。單元1310與單元1320之間的邊界上的邊1351、1352、1353的凸起重疊於同一邊界上的邊1361、1362、1363的凸起。因此,根據圖形理論確定兩個3-完整子集合無法構成5-完整子集合。換句話說,子圖1300中不具有5-完整子集合。與子圖1300相關且不具有5-完整子集合的QP圖案為4個可著色。
如同上述,子圖1300中的QP圖案被識別為4個可著色。為了在圖13中說明,以第一種顏色著色節點1333與1343。以第二種顏色著色節點1331。以第三種顏色著色節點1341。以第四種顏色著色節點1332與1342。
圖14是根據本發明的各種實施例的用於預先驗證的兩緊鄰單元1410與1420的子圖1400。在一些實施例中,處理器402執行電腦程式碼406,以由圖4中的圖420擷取子圖1400。
為了在圖14中說明,單元1410具有邊界區域1411,以及單元1420具有邊界區域1421。在一些實施例中,邊界區域1411與1421的各者的寬度等於閥值間距G0的一半。為了說明,三個節點1431、1432、1433配置於單元1410的邊界區域1411中且構成一個3-完整子集合。三個節點1441、1442、1443配置於單元1420的邊界區域1421中且構成其他3-完整子集合。通過單元1410與1420中的3-完整子集合,進一步進行預先驗證以識別單元1410中的一個3-完整子集合的邊的任何凸起是否重疊於單元1420中的其他3-完整子集合的邊的任何凸起。
如圖14中所繪示,通過三個邊1451-1453連接節點1431、1432、1433,且通過三個邊1461-1463連接節點1441、1442、1443。單元1410與單元1420之間的邊界上的邊1451-1453的凸起重疊於同一邊界上的邊1461-1463的凸起。因此,根據圖形理論確定兩個3-完整子集合可構成5-完整子集合。為了說明,在圖9的操作920中,根據預先驗證結果,確定子圖1400中存在5-完整子集合。通過5-完整子集合,與子圖1400相關的QP圖案不是4個可著色。而後,如同前文於操作925中所述,識別與子圖1400相關的QP圖案具有QP違規。
在操作925之後,請參照圖6。換句話說,當識別QP違規,則接著進行操作615。在操作615中,如同於操作605或610中所述,修改佈局以排除包含5-完整子集合的子圖,以避免將參照圖15進行解釋的QP違規。相應地,在圖4中,在一些實施例中,處理器402執行電腦程式碼406,以修改對應於佈局的資料418,進而避免QP違規。在一些其他實施例中,如同於操作605或610中所述,處理器402執行電腦程式碼406以修改圖420,以排除包含5-完整子集合的子圖。
圖15是根據本發明的各種實施例的子圖1500,其繪示將圖14中的單元1410與1420隔開。在一些實施例中,兩個緊鄰單元1410與1420彼此分隔。在其他實施例中,通過等於或大於閥值間距G0的間距隔開兩個緊鄰單元1410與1420。
在一些實施例中,通過等於或大於閥值間距G0的間距隔開單元1410中的QP圖案與單元1420中的QP圖案。實際上,在放置與拉線(placement and route(P&R))製程期間於兩緊鄰單元1410與1420之間加入放置約束(placement constraints),以使兩緊鄰單元1410與1420彼此分離。
為了在圖15中說明,隔開節點1431與1432與節點1441、1442與1443,且兩者之間的分隔距離大於閥值間距G0。在一些實施例中,通過等於或大於閥值間距G0的間距隔開節點1431、1432、1433與1441、1442、1443、1444中的兩個最鄰近節點。如圖15中所示,當隔開節點1431與1432與節點1441、1442與1443,未形成5-完整子集合。因此,節點1431、1432、1433與1441、1442、1443、1444為4個可著色。為了說明,以第一種顏色著色節點1431與1444。以第二種顏色著色節點1432與1441。以第三種顏色著色節點1433與1442。以第四種顏色著色節點1443。
相應地,在圖4中,在一些實施例中,根據前述經修正資料418,處理器402執行電腦程式碼406以重新產生圖420。處理器402執行電腦程式碼406,以由重新產生的圖420擷取子圖1500。再者,在一些實施例中,根據前述經修正資料418,處理器402執行指令407,以與工具405聯繫以製造半導體裝置。
如前文所述,本發明實施例提供用於多重樣式化技術的設計方法驗證方法學。因此,能根據單元的鄰接狀態進行設計方法驗證以識別用於說明的QP違規。
在一些實施例中,揭示一種驗證多重樣式化技術的設計方法,其包括:確定是否存在衝突圖,所述衝突圖代表積體電路佈局的多重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及為了製造積體電路,若存在所述衝突圖,則修改所述多重樣式化圖案,以排除由所述衝突圖代表的圖案。
在一些實施例中,還揭示一種驗證系統,其包括存儲裝置與處理器。存儲裝置配置成用以存儲電腦程式碼。處理器配置成用以執行所述存儲裝置中的用於以下的所述電腦程式碼:基於對應於積體電路佈局的資料,建構代表多重樣式化圖案的圖;驗證所述圖是否包括子圖,其中所述子圖代表多重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;為了製造積體電路,當所述圖包括所述子圖,則修改所述圖,以排除子圖。
在一些實施例中,還揭示一種非暫時性電腦可讀取媒體,其包括通過處理器執行會導致所述處理器實行方法的電腦可執行指令。所述方法包括:將積體電路佈局的多重樣式化圖案分類成多個圖案子集,所述多個圖案子集待分配至各種數目的不同罩幕;為了待分配至包括四個不同罩幕的罩幕的所述多個圖案子集的各者,建構包括四重樣式化(QP)圖案的圖;驗證所述圖是否包括衝突圖,所述衝突圖中所述四重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及為了製造積體電路,當所述圖包括所述衝突圖,則修改所述佈局,以排除所述衝突圖。
在本發明實施例中,其中為了驗證所述圖是否包括所述子圖,所述處理器還配置成用以執行用於以下的所述電腦程式碼:驗證所述圖是否包括所述佈局內的各單元中或所述佈局內的兩緊鄰單元中的所述子圖。
在本發明實施例中,其中為了驗證所述圖是否包括所述佈局內的兩緊鄰單元中所述子圖,所述處理器還配置成用以執行用於以下的所述電腦程式碼:識別所述圖是否包括第一子圖,其中所述第一子圖代表在所述兩緊鄰單元中的至少一者的四重樣式化(QP)圖案的三個相鄰圖案中的任兩者之間的間距小於所述閥值間距。
在本發明實施例中,其中當所述圖包括所述第一子圖,所述處理器還配置成用以執行用於以下的所述電腦程式碼:識別使四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的一者是否建構成沿著及/或平行於所述兩緊鄰單元之間的邊界。
在本發明實施例中,其中當所述圖包括所述第一子圖,所述處理器還配置成用以執行用於以下的所述電腦程式碼:識別使所述兩緊鄰單元的中一者的四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的在所述兩緊鄰單元之間的邊界上的任何一個凸起是否重疊於使所述兩緊鄰單元的中另一者的四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的在相同邊界上的任何一個凸起。
在本發明實施例中,其中為了修改所述圖,所述處理器還配置成用以執行用於以下的所述電腦程式碼:隔開所述圖中的兩緊鄰單元,其中所述兩緊鄰單元中的各者包括通過多個邊彼此連接的三個相鄰多重樣式化圖案。
在本發明實施例中,其中驗證所述圖是否包括所述衝突圖包括:驗證所述圖是否包括所述佈局內的各單元中或所述佈局內的兩緊鄰單元中的所述衝突圖。
在本發明實施例中,其中驗證所述圖是否包括所述佈局內的兩緊鄰單元中的所述衝突圖包括:識別所述圖是否包括第一衝突圖,其中所述第一衝突圖代表在所述兩緊鄰單元中的至少一者的四重樣式化(QP)圖案的三個相鄰圖案中的任兩者之間的間距小於所述閥值間距。
在本發明實施例中,其中當所述圖包括所述第一衝突圖,驗證所述圖是否包括所述佈局內的兩緊鄰單元中的所述衝突圖還包括:識別使所述四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的一者是否建構成沿著及/或平行於所述兩緊鄰單元之間的邊界。
在本發明實施例中,其中當所述圖包括所述第一衝突圖,驗證所述圖是否包括所述佈局內的兩緊鄰單元中的所述衝突圖還包括:識別使所述兩緊鄰單元中的一者的四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的在所述兩緊鄰單元之間的邊界上的任何一個凸起是否重疊於使所述兩緊鄰單元中的另一者的四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的在相同邊界上的任何一個凸起。
在本發明實施例中,其中修改所述佈局包括:隔開所述佈局中的兩緊鄰單元,其中所述兩緊鄰單元中的各者包括通過分別具有小於所述閥值間距的間距的多個邊彼此連接的三個相鄰四重樣式化圖案。
以上概述了多個實施例的特徵,使本領域具有通常知識者可更佳了解本揭露的態樣。本領域具有通常知識者應理解,其可輕易地使用本揭露作為設計或修改其他製程與結構的依據,以實施本文所介紹的實施例的相同目的及/或達到相同優點。本領域具有通常知識者還應理解,這種等效的配置並不悖離本揭露的精神與範疇,且本領域具有通常知識者在不悖離本揭露的精神與範疇的情況下可對本文做出各種改變、置換以及變更。
100‧‧‧佈局
101、102、103、104‧‧‧圖案
111、112、113、114、301、302、303、304、305、701、702、703、704、705、706、801、802、803、804、805、806、1031、1032、1041、1042、1043、1131、1132、1133、1141、1142、1143、1144、1231、1232、1233、1241、1242、1243、1244、1331、1332、1333、1341、1342、1343、1431、1432、1433、1441、1442、1443、1444‧‧‧節點
201、202、203、204、1161、1162、1163、1261、1262、1263、1351、1352、1353、1361、1362、1363、1451、1452、1453、1461、1462、1463‧‧‧邊
400‧‧‧系統
408‧‧‧匯流排
402‧‧‧處理器
406‧‧‧程式碼
404‧‧‧存儲裝置
405‧‧‧工具
410‧‧‧I/O介面
412‧‧‧網路介面
414‧‧‧網路
418‧‧‧資料
407‧‧‧指令
420‧‧‧圖
500‧‧‧方法
505、510、515、605、610、615、905、910、915、920、925、930‧‧‧操作
700、800、1000、1100、1200、1300、1400、1500‧‧‧子圖
1010、1020、1110、1120、1210、1220、1310、1320、1410、1420‧‧‧單元
1011、1021、1111、1121、1211、1221、1311、1321、1411、1421‧‧‧邊界區域
1170、1270‧‧‧邊界
G0‧‧‧閥值間距
圖1是根據本發明的各種實施例的佈局的示意圖。 圖2是根據本發明的各種實施例的代表圖1的佈局的圖的示意圖。 圖3是根據本發明的各種實施例的用於四重樣式化技術的圖,其使用如圖2中所述的方法。 圖4是根據本發明的各種實施例的一種用於多重樣式化衝突驗證的系統的示意圖。 圖5繪示根據本發明的各種實施例的設計方法驗證方法學的方法流程圖,其中設計方法驗證方法學與圖4的系統相關。 圖6是根據本發明的各種實施例的用於進行圖5中的操作的方法流程圖。 圖7是根據本發明的一些實施例的不具有5-完整子集合(5-clique)的單元的子圖,其將於圖6的操作中進行驗證。 圖8是根據本發明的其他一些實施例的具有5-完整子集合的單元的子圖,其將於圖6的操作中進行驗證。 圖9是根據本發明的各種實施例的於圖6的操作中驗證兩緊鄰單元中的5-完整子集合的方法流程圖。 圖10是根據本發明的各種實施例的不具有3-完整子集合的兩緊鄰單元的子圖,其將於圖9的操作中進行驗證。 圖11是根據本發明的各種實施例的兩緊鄰單元的子圖,其用於圖9中的預先(up-front)驗證。 圖12是根據本發明的各種實施例的兩緊鄰單元的子圖,其用於圖9中的預先驗證。 圖13是根據本發明的各種實施例的兩緊鄰單元的子圖,其用於圖9中的預先驗證。 圖14是根據本發明的各種實施例的兩緊鄰單元的子圖,其用於圖9中的預先驗證。 圖15是根據本發明的各種實施例的子圖,其繪示圖14中的間隔單元。
515、605、610、615‧‧‧操作

Claims (10)

  1. 一種驗證多重樣式化技術的設計方法,所述方法包括: 確定是否存在衝突圖,所述衝突圖代表積體電路佈局的多重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及 為了製造積體電路,若存在所述衝突圖,則修改所述多重樣式化圖案,以排除由所述衝突圖代表的圖案。
  2. 如申請專利範圍第1項所述的方法,其中所述多重樣式化圖案被實現為待分配到四個不同罩幕的四重樣式化圖案。
  3. 如申請專利範圍第1項所述的方法,其中確定是否存在所述衝突圖包括:     識別所述衝突圖是否包括子圖,其中所述子圖代表在兩緊鄰單元中的至少一者的四重樣式化圖案的三個相鄰圖案中的任兩者之間的各間距小於所述閥值間距。
  4. 如申請專利範圍第3項所述的方法,其中當所述衝突圖 包括所述子圖,所述方法還包括:     識別使四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的一者是否建構成沿著及/或平行於所述兩緊鄰單元之間的邊界。
  5. 如申請專利範圍第3項所述的方法,其中當所述衝突圖 包括所述子圖,所述方法還包括:     識別使所述兩緊鄰單元中的一者的四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的在所述兩緊鄰單元之間的邊界上的任一個凸起與使所述兩緊鄰單元中的另一者的四重樣式化圖案的所述三個相鄰圖案彼此連接的多個邊中的在所述邊界上的任一個凸起是否重疊。
  6. 如申請專利範圍第1項所述的方法,其中修改所述多重樣式化圖案包括:     以等於或大於所述閥值間距的一間距隔開多重樣式化圖案的兩緊鄰單元中的至少兩個最鄰近圖案。
  7. 如申請專利範圍第1項所述的方法,其中修改所述多重樣式化圖案包括:     隔開分別包括三個相鄰四重樣式化圖案的兩緊鄰單元,其中所述三個相鄰四重樣式化圖案通過分別具有小於所述閥值間距的間距的多個邊彼此連接。
  8. 一種驗證系統,包括:     存儲裝置,配置成用以存儲電腦程式碼;以及     處理器,配置成用以執行所述存儲裝置中的用於以下的所述電腦程式碼:     基於對應於積體電路佈局的資料,建立代表多重樣式化圖案的圖;     驗證所述圖是否包括子圖,其中所述子圖代表多重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及     為了製造積體電路,當所述圖包括所述子圖,則修改所述圖,以排除所述子圖。
  9. 如申請專利範圍第8項所述的系統,其中為了建立所述圖,所述處理器還配置成用以執行用於以下的所述電腦程式碼:     建立代表所述佈局內的各單元中或所述佈局內的緊鄰單元中的四重樣式化圖案。
  10. 一種非暫時性電腦可讀取媒體,其包括通過處理器執行會導致所述處理器實行方法的電腦可執行指令,所述方法包括:     將積體電路佈局的多重樣式化圖案分類成多個圖案子集,所述多個圖案子集待分配至各種數目的不同罩幕;     為了待分配至包括四個不同罩幕的罩幕的所述多個圖案子集的各者,建立包括四重樣式化(QP)圖案的圖;     驗證所述圖是否包括衝突圖,所述衝突圖中所述四重樣式化圖案的至少五個相鄰圖案中的任兩者之間的各間距小於閥值間距;以及     為了製造積體電路,當所述圖包括所述衝突圖,則修改所述佈局,以排除所述衝突圖。
TW105133641A 2016-03-01 2016-10-19 驗證多重樣式化技術的設計方法、驗證系統以及非暫時性電腦可讀取媒體 TWI597616B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/057,999 US9971863B2 (en) 2016-03-01 2016-03-01 Rule checking for multiple patterning technology

Publications (2)

Publication Number Publication Date
TWI597616B true TWI597616B (zh) 2017-09-01
TW201732659A TW201732659A (zh) 2017-09-16

Family

ID=59723715

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105133641A TWI597616B (zh) 2016-03-01 2016-10-19 驗證多重樣式化技術的設計方法、驗證系統以及非暫時性電腦可讀取媒體

Country Status (3)

Country Link
US (2) US9971863B2 (zh)
CN (1) CN107145618B (zh)
TW (1) TWI597616B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9971863B2 (en) * 2016-03-01 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rule checking for multiple patterning technology
US10521545B2 (en) * 2016-04-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Placement constraint method for multiple patterning of cell-based chip design
US10318698B2 (en) * 2016-12-14 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for assigning color pattern
KR102636095B1 (ko) * 2016-12-16 2024-02-13 삼성전자주식회사 쿼드러플 패터닝 리소그래피를 위한 집적 회로, 상기 집적 회로의 설계를 위한 컴퓨팅 시스템 및 컴퓨터 구현 방법
US10311201B2 (en) * 2017-08-07 2019-06-04 Globalfoundries Inc. Alignment key design rule check for correct placement of abutting cells in an integrated circuit
US10509881B2 (en) * 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for coloring circuit layout and system for performing the same
CN111435656B (zh) * 2019-01-14 2022-11-22 中芯国际集成电路制造(上海)有限公司 标准单元图形的筛选方法
US10810348B1 (en) 2019-07-15 2020-10-20 International Business Machines Corporation Constructing colorable wiring layouts with wide wires and sandwich rules
CN111984796B (zh) * 2020-07-31 2022-11-04 西安理工大学 一种基于规范知识图谱ifc模型的自动合规性检查方法
CN114741020A (zh) * 2022-03-22 2022-07-12 深圳市鸿合创新信息技术有限责任公司 圆盘生成方法、装置、设备及介质

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6811853B1 (en) 2000-03-06 2004-11-02 Shipley Company, L.L.C. Single mask lithographic process for patterning multiple types of surface features
TW530336B (en) 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US6893958B2 (en) 2002-04-26 2005-05-17 Micron Technology, Inc. Methods for preventing cross-linking between multiple resists and patterning multiple resists
TWI311690B (en) 2003-02-20 2009-07-01 Synopsys Inc System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7302662B2 (en) * 2006-03-28 2007-11-27 National Tsing Hua University Method for post-routing redundant via insertion in integrated circuit layout
US8584052B2 (en) * 2010-12-22 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for multiple patterning technology
CN102623423B (zh) * 2011-01-26 2015-01-28 旺宏电子股份有限公司 集成电路图案及多重图案化方法
US9599671B2 (en) * 2011-02-24 2017-03-21 New York University Architecture, system, method, and computer-accessible medium for partial-scan testing
CN102521425B (zh) * 2011-10-25 2014-01-29 清华大学 版图二划分方法
US8745556B2 (en) * 2012-06-28 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout method and system for multi-patterning integrated circuits
US8954900B1 (en) * 2013-07-31 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
US9026971B1 (en) 2014-01-07 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning conflict free integrated circuit design
US9053288B1 (en) * 2014-03-31 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Layout checking system for multiple-patterning group assignment constraints
US9842185B2 (en) * 2015-08-21 2017-12-12 Qualcomm Incorporated Systems and methods for group constraints in an integrated circuit layout
US10395001B2 (en) * 2015-11-25 2019-08-27 Synopsys, Inc. Multiple patterning layout decomposition considering complex coloring rules
US10268791B2 (en) * 2015-12-11 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multi-patterning
US9971863B2 (en) * 2016-03-01 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rule checking for multiple patterning technology

Also Published As

Publication number Publication date
US20180239862A1 (en) 2018-08-23
CN107145618A (zh) 2017-09-08
US9971863B2 (en) 2018-05-15
US20170255740A1 (en) 2017-09-07
US10643017B2 (en) 2020-05-05
CN107145618B (zh) 2021-02-26
TW201732659A (zh) 2017-09-16

Similar Documents

Publication Publication Date Title
TWI597616B (zh) 驗證多重樣式化技術的設計方法、驗證系統以及非暫時性電腦可讀取媒體
CN107025320B (zh) 考虑复杂着色规则的多重图案化布局分解
US8473873B2 (en) Multi-patterning method
US8468470B2 (en) Multi-patterning method
US9141752B2 (en) EDA tool and method for conflict detection during multi-patterning lithography
US8434043B1 (en) Methodology for analysis and fixing guidance of pre-coloring layout
US8954900B1 (en) Multi-patterning mask decomposition method and system
US8601409B1 (en) Compression method and system for use with multi-patterning
US20150234974A1 (en) Multiple patterning design with reduced complexity
KR102058224B1 (ko) 집적 회로 레이아웃 방법, 구조물, 및 시스템
US9122838B2 (en) Triple-pattern lithography layout decomposition
US8601408B2 (en) Method and system for replacing a pattern in a layout
US10013520B2 (en) Method of determining if layout design is N-colorable
US9390223B2 (en) Method of determining whether a layout is colorable
US10274829B2 (en) Multiple patterning decomposition and manufacturing methods for IC
US20240256751A1 (en) Standard cell and semiconductor device including anchor nodes
US9165104B1 (en) Method and apparatus for identifying double patterning color-seeding violations
US10489547B2 (en) Multiple patterning method, system for implementing the method and layout formed
TW201830470A (zh) 分配著色圖案的方法
TWI536093B (zh) 產生方法,儲存媒體及資訊處理裝置
US11592751B2 (en) Method of manufacturing photo masks
US8959460B1 (en) Layout decomposition method
JP2002183238A (ja) 密集性の判定方法、密集性の検証を行うコンピュータプログラムを記録した記録媒体および検証装置