CN106558547B - 一种半导体器件及其制造方法 - Google Patents

一种半导体器件及其制造方法 Download PDF

Info

Publication number
CN106558547B
CN106558547B CN201510615979.8A CN201510615979A CN106558547B CN 106558547 B CN106558547 B CN 106558547B CN 201510615979 A CN201510615979 A CN 201510615979A CN 106558547 B CN106558547 B CN 106558547B
Authority
CN
China
Prior art keywords
layer
gate
metal gate
work function
device type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510615979.8A
Other languages
English (en)
Other versions
CN106558547A (zh
Inventor
李勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201510615979.8A priority Critical patent/CN106558547B/zh
Publication of CN106558547A publication Critical patent/CN106558547A/zh
Application granted granted Critical
Publication of CN106558547B publication Critical patent/CN106558547B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种半导体器件及其制造方法,涉及半导体技术领域。包括:提供具有第一器件类型区域和第二器件类型区域的半导体衬底,去除第一伪栅极结构和第二伪栅极结构,以分别形成第一栅极沟槽和第二栅极沟槽;在第一栅极沟槽和第二栅极沟槽的底部和侧壁上形成高k介电层;在第二栅极沟槽内填充牺牲材料层;在第一栅极沟槽内的所述高k介电层上依次形成第一阻挡层、第一功函数层,并在所述第一栅极沟槽内填充第一金属栅极层;完全去除牺牲材料层;在第二栅极沟槽内的高k介电层上依次形成第二功函数层和第二阻挡层;在第二栅极沟槽内填充第二金属栅极层。本发明的方法可以提高PMOS区域的金属栅极的填充性能,有利于改善NMOS的不匹配特性。

Description

一种半导体器件及其制造方法
技术领域
本发明涉及半导体技术领域,具体而言涉及一种半导体器件及其制造方法。
背景技术
在下一代集成电路的制造工艺中,对于金属氧化物半导体(MOS)的栅极的制作,通常采用高k-金属栅极工艺。
无论是先金属栅极还是后金属栅极,铝扩散一直是影响器件可靠性和性能的主要问题之一,例如对与时间相关电介质击穿(Time Dependent Dielectric Breakdown,简称TDDB)、负偏压温度不稳定性(Negative Bias Temperature Instability,简称NBTI),正偏压温度不稳定性(Positive Bias Temperature Instability,简称PBTI)等可靠性造成负面影响,同时铝扩散还会影响载流子的迁移率,降低器件的性能。为了避免铝的扩散问题,先沉积形成PMOS的功函数层再形成NMOS的功函数层是通常的做法。
但是对于后栅极工艺中的采用金属钨作为金属栅极材料,就完全不会存在Al扩散问题的影响。而对于SRAM器件来说,下拉晶体管PD和上拉晶体管PG的性能不匹配问题,占据了SRAM良率的主导地位。而对于PMOS器件,其金属栅极材料的间隙填充能力也受到很大的挑战。
因此,有必要提出一种新的制造方法,以解决现有技术的不足。
发明内容
在发明内容部分中引入了一系列简化形式的概念,这将在具体实施方式部分中进一步详细说明。本发明的发明内容部分并不意味着要试图限定出所要求保护的技术方案的关键特征和必要技术特征,更不意味着试图确定所要求保护的技术方案的保护范围。
为了克服目前存在的问题,本发明提供一种半导体器件的制造方法,包括:
步骤S1:提供具有第一器件类型区域和第二器件类型区域的半导体衬底,并分别在所述第一器件类型区域和第二器件类型区域的所述半导体衬底上形成有第一伪栅极结构和第二伪栅极结构;
步骤S2:去除所述第一伪栅极结构和第二伪栅极结构,以分别形成第一栅极沟槽和第二栅极沟槽;
步骤S3:在所述第一栅极沟槽和第二栅极沟槽的底部和侧壁上形成高k介电层;
步骤S4:在所述第二栅极沟槽内填充牺牲材料层;
步骤S5:在所述第一栅极沟槽内的所述高k介电层上依次形成第一阻挡层、第一功函数层,并在所述第一栅极沟槽内填充第一金属栅极层;
步骤S6:完全去除所述牺牲材料层,以暴露所述第二栅极沟槽内的所述高k介电层;
步骤S7:在所述第二栅极沟槽内的所述高k介电层上依次形成第二功函数层和第二阻挡层;
步骤S8:在所述第二栅极沟槽内填充第二金属栅极层。
进一步,所述第一器件类型区域为PMOS区域,所述第二器件类型区域为NMOS区域。
进一步,在所述步骤S3中,在形成所述高k介电层之前,还包括在所述第一栅极沟槽和所述第二栅极沟槽底部形成界面层的步骤。
进一步,在所述步骤S3中,在形成所述高k介电层之后,还包括在所述高k介电层上形成覆盖层的步骤。
进一步,所述牺牲材料层的材料为底部抗反射涂层、无定型硅、无定型碳或者深紫外线吸收氧化层。
进一步,在所述步骤S5中,还包括步骤:回蚀刻所述第一金属栅极层,以形成凹槽。
进一步,在所述步骤S8中,还包括在所述凹槽中填充所述第二金属栅极层的步骤。
进一步,所述第一功函数层为P型功函数层,所述第二功函数层为N型功函数层。
进一步,所述第二功函数层的材料包括TiAlC。
进一步,所述第一金属栅极层的材料包括TiAl,所述第二金属栅极层的材料包括钨。
进一步,在去除所述第一伪栅极结构和第二伪栅极结构之前,还包括下述步骤:在所述半导体衬底上形成覆盖所述第一伪栅极结构和第二伪栅极结构的接触孔蚀刻停止层;在所述接触孔蚀刻停止层上形成层间介电层;执行化学机械研磨依次研磨所述层间介电层和所述接触孔蚀刻停止层,直至露出所述第一伪栅极结构和第二伪栅极结构的顶部。
本发明实施例二提供一种半导体器件,包括:
具有第一器件类型区域和第二器件类型区域的半导体衬底;
分别在所述第一器件类型区域和第二器件类型区域的所述半导体衬底上形成有第一栅极结构和第二栅极结构,其中,
所述第一栅极结构包括自下而上的高k介电层、第一阻挡层、第一功函数层、第一金属栅极层,
所述第二栅极结构包括自下而上的高k介电层、第二功函数层、第二阻挡层和第二金属栅极层,
所述第一金属栅极层和所述第二金属栅极层为不同的金属材质。
进一步,所述第一栅极结构还包括位于所述第一金属栅极层上的与所述第二金属栅极层材质相同的第三金属栅极层。
进一步,所述第二功函数层的材料包括TiAlC。
进一步,所述第一金属栅极层的材料包括TiAl,所述第二金属栅极层的材料包括钨。
综上所述,本发明的制造方法可以提高PMOS区域的金属栅极的填充性能,同时有利于改善NMOS的不匹配特性,进而提高了器件的性能和良率。
附图说明
本发明的下列附图在此作为本发明的一部分用于理解本发明。附图中示出了本发明的实施例及其描述,用来解释本发明的原理。
附图中:
图1A-1D示出了根据本发明的制造方法依次实施步骤形成的器件的剖面示意图;
图2示出了根据本发明的制作方法依次实施步骤的工艺流程图;
图3示出了本发明实施例二中的半导体器件的剖面示意图。
具体实施方式
在下文的描述中,给出了大量具体的细节以便提供对本发明更为彻底的理解。然而,对于本领域技术人员而言显而易见的是,本发明可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本发明发生混淆,对于本领域公知的一些技术特征未进行描述。
应当理解的是,本发明能够以不同形式实施,而不应当解释为局限于这里提出的实施例。相反地,提供这些实施例将使公开彻底和完全,并且将本发明的范围完全地传递给本领域技术人员。在附图中,为了清楚,层和区的尺寸以及相对尺寸可能被夸大。自始至终相同附图标记表示相同的元件。
应当明白,当元件或层被称为“在…上”、“与…相邻”、“连接到”或“耦合到”其它元件或层时,其可以直接地在其它元件或层上、与之相邻、连接或耦合到其它元件或层,或者可以存在居间的元件或层。相反,当元件被称为“直接在…上”、“与…直接相邻”、“直接连接到”或“直接耦合到”其它元件或层时,则不存在居间的元件或层。应当明白,尽管可使用术语第一、第二、第三等描述各种元件、部件、区、层和/或部分,这些元件、部件、区、层和/或部分不应当被这些术语限制。这些术语仅仅用来区分一个元件、部件、区、层或部分与另一个元件、部件、区、层或部分。因此,在不脱离本发明教导之下,下面讨论的第一元件、部件、区、层或部分可表示为第二元件、部件、区、层或部分。
空间关系术语例如“在…下”、“在…下面”、“下面的”、“在…之下”、“在…之上”、“上面的”等,在这里可为了方便描述而被使用从而描述图中所示的一个元件或特征与其它元件或特征的关系。应当明白,除了图中所示的取向以外,空间关系术语意图还包括使用和操作中的器件的不同取向。例如,如果附图中的器件翻转,然后,描述为“在其它元件下面”或“在其之下”或“在其下”元件或特征将取向为在其它元件或特征“上”。因此,示例性术语“在…下面”和“在…下”可包括上和下两个取向。器件可以另外地取向(旋转90度或其它取向)并且在此使用的空间描述语相应地被解释。
在此使用的术语的目的仅在于描述具体实施例并且不作为本发明的限制。在此使用时,单数形式的“一”、“一个”和“所述/该”也意图包括复数形式,除非上下文清楚指出另外的方式。还应明白术语“组成”和/或“包括”,当在该说明书中使用时,确定所述特征、整数、步骤、操作、元件和/或部件的存在,但不排除一个或更多其它的特征、整数、步骤、操作、元件、部件和/或组的存在或添加。在此使用时,术语“和/或”包括相关所列项目的任何及所有组合。
为了彻底理解本发明,将在下列的描述中提出详细的结构及步骤,以便阐释本发明提出的技术方案。本发明的较佳实施例详细描述如下,然而除了这些详细描述外,本发明还可以具有其他实施方式。
实施例一
下面将参照图1A-1D及图2对本发明的半导体器件的制造方法做详细描述。其中,图1A-1D示出了根据本发明的制造方法依次实施步骤形成的器件的剖面示意图;图2示出了根据本发明的制作方法依次实施步骤的工艺流程图。
执行步骤S201,提供具有第一器件类型区域和第二器件类型区域的半导体衬底,并分别在所述第一器件类型区域和第二器件类型区域的所述半导体衬底上形成有第一伪栅极结构和第二伪栅极结构。
其中,所述第一器件类型区域为PMOS区域,所述第二器件类型区域为NMOS区域,或者,所述第一区域为NMOS区域,所述第二区域为PMOS区域。以下,主要以第一器件类型区域为PMOS区域,第二器件类型区域为NMOS区域的情况对本发明的制造方法进行阐述。
如图1A所示,提供半导体衬底100,半导体衬底100的构成材料可以采用未掺杂的单晶硅、掺杂有杂质的单晶硅、绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。作为示例,半导体衬底100的构成材料选用单晶硅。
在半导体衬底100中形成有隔离结构101,隔离结构101可以为浅沟槽隔离(STI)结构或者局部氧化硅(LOCOS)隔离结构,在本实施例中,隔离结构较佳地为浅沟槽隔离结构。如图1A所示,隔离结构101可将半导体衬底100分为NFET区和PFET区。半导体衬底100中还形成有各种阱(well)结构,为了简化,图示中予以省略。在所述半导体衬底100上还可形成有鳍片(未示出)。
分别在PMOS区域和NMOS区域的所述半导体衬底上形成有第一伪栅极结构和第二伪栅极结构。第一伪栅极结构和第二伪栅极结构包括自下而上层叠的牺牲栅介电层和牺牲栅电极层。牺牲栅介电层的材料较佳地为氧化物,例如二氧化硅。牺牲栅电极层的材料包括多晶硅或无定形碳,较佳地是多晶硅。牺牲栅介电层和牺牲栅电极层的形成方法可以采用本领域技术人员所熟习的任何现有技术,优选化学气相沉积法(CVD),如低温化学气相沉积(LTCVD)、低压化学气相沉积(LPCVD)、快热化学气相沉积(RTCVD)、等离子体增强化学气相沉积(PECVD)。
在一个示例中,形成第一伪栅极结构和第二伪栅极结构的方法为:依次在半导体衬底上沉积形成牺牲栅介电层和牺牲栅电极层,在所述牺牲栅电极层上形成图案化的光刻胶层,所述光刻胶层定义了第一伪栅极结构和第二伪栅极结构的形状以及关键尺寸的大小,以所述光刻胶层为掩膜蚀刻牺牲栅电极层以及牺牲栅介电层,形成第一伪栅极结构和第二伪栅极结构。然后去除所述光刻胶层。上述第一伪栅极结构和第二伪栅极结构的形成方法仅是示例性地,其他任何形成伪栅极结构的方法均可适用于本发明。
分别在第一伪栅极结构和第二伪栅极结构的两侧壁上形成有紧靠伪栅极结构的偏移侧墙(offset spacer)。所述偏移侧墙的材料例如是氮化硅,氧化硅或者氮氧化硅等绝缘材料。在本实施例中,偏移侧墙为氧化物和氮化物的叠层。在第一伪栅极结构和第二伪栅极结构两侧形成偏移侧墙的工艺可以为本领域技术人员熟知的任何工艺,例如化学气相沉积。
还包括步骤:在第一伪栅极结构和第二伪栅极结构两侧源漏区生长应力层,在CMOS晶体管中,通常在NMOS晶体管上形成具有拉应力的应力层,在PMOS晶体管上形成具有压应力的应力层,CMOS器件的性能可以通过将所述拉应力作用于NMOS,压应力作用于PMOS来提高。现有技术中在NMOS晶体管中通常选用SiC作为拉应力层,在PMOS晶体管中通常选用SiGe作为压应力层。
作为优选,生长所述SiC作为拉应力层时,可以在所述衬底上外延生长,在离子注入后形成抬升源漏,在形成所述SiGe层时,通常在所述衬底中形成凹槽,然后在所述凹槽中沉积形成SiGe层。更优选,在所述衬底中形成“∑”形凹槽。
在一个示例中,还包括步骤:在所述半导体衬底100上形成覆盖所述第一伪栅极结构和第二伪栅极结构的接触孔蚀刻停止层102;在所述接触孔蚀刻停止层102上形成层间介电层103;执行化学机械研磨依次研磨所述层间介电层103和所述接触孔蚀刻停止层102,直至露出所述第一伪栅极结构和第二伪栅极结构的顶部。所述层间介电层103可为氧化硅层,包括利用热化学气相沉积(thermal CVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,层间介电层也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。采用共形沉积工艺形成接触孔蚀刻停止层102,以使形成的接触孔蚀刻停止层102具有良好的阶梯覆盖特性,接触孔蚀刻停止层102的材料优选氮化硅。
执行步骤S202,去除所述第一伪栅极结构和第二伪栅极结构,以分别形成第一栅极沟槽和第二栅极沟槽。
继续参考图1A,去除所述第一伪栅极结构和第二伪栅极结构,以分别形成第一栅极沟槽104p和第二栅极沟槽104n。具体地,在本发明一实施例中选用干法蚀刻或者湿法蚀刻或者干-湿混合刻蚀以去除PMOS区域中的第一伪栅极结构和NMOS区域中的第二伪栅极结构以分别形成第一栅极沟槽104p和第二栅极沟槽104n。其中,在采用干法刻蚀去除牺牲栅电极层,所述干法刻蚀包括在反应气体金属反应腔室内之前采用远程等离子体(remoteplasma)工艺或者微波(microwave)工艺形成离子气体,以避免对半导体衬底产生等离子体损伤。
当选用干法蚀刻时,可以选用HBr作为主要蚀刻气体;还包括作为刻蚀补充气体的O2或Ar,其可以提高刻蚀的品质。或者选用湿法蚀刻,选用湿法蚀刻时,选用KOH和四甲基氢氧化氨(TMAH)中的一种或者多种,在本发明选用KOH进行蚀刻,在本发明中优选质量分数为5-50%的KOH进行蚀刻,同时严格控制该蚀刻过程的温度,在该步骤中优选蚀刻温度为20-60℃。再采用本领域技术人员熟知的任何方法去除牺牲栅介电层。
接着,执行步骤S203,在所述第一栅极沟槽和第二栅极沟槽的底部和侧壁上形成高k介电层。
在一个示例中,参考图1B,首先,在所述第一栅极沟槽和所述第二栅极沟槽底部分别形成界面层105,再在所述第一栅极沟槽104p和第二栅极沟槽104n的底部和侧壁上依次形成高k介电层106和覆盖层107。
界面(IL)层105的构成材料包括硅氧化物(SiOx),形成界面层的作用是改善高k介电层106与半导体衬底100之间的界面特性。IL层105的可以为热氧化层、氮的氧化物层、化学氧化层或者其他适合的薄膜层。可以采用热氧化、CVD、ALD或者PVD等适合的工艺形成界面层105。界面层105的厚度范围为5埃至10埃。
高k介电层106的k值(介电常数)通常为3.9以上,其构成材料包括氧化铪、氧化铪硅、氮氧化铪硅、氧化镧、氧化锆、氧化锆硅、氧化钛、氧化钽、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化铝等,较佳地是氧化铪、氧化锆或氧化铝。可以采用CVD、ALD或者PVD等适合的工艺形成高K介电层。高K介电层106的厚度范围为10埃至30埃。
覆盖层107的材料可以为La2O3、Al2O3、Ga2O3、In2O3、MoO、Pt、Ru、TaCNO、Ir、TaC、MoN、WN、TixN1-x或者其他适合的薄膜层。可以采用CVD、ALD或者PVD等适合的工艺沉积形成覆盖层107,较佳地,沉积形成所述覆盖层的方法为原子层沉积法。本实施例中,较佳地所述覆盖层107的材料为TiN。
在一个示例中,在本步骤中还包括对覆盖层107进行退火处理的步骤。退火处理可以采用炉管退火、快速退火、激光退火等工艺,热退火的温度可以为400至1000摄氏度。
之后,还可执行化学机械研磨(CMP)工艺以平坦化NMOS区域和PMOS区域,采用CMP工艺去除多余的高k介电层106和覆盖层107,以露出层间介电层103。还可以采用回刻蚀工艺去除多余的多余的高k介电层106和覆盖层107,以露出层间介电层103。
执行步骤S204,在所述第二栅极沟槽内填充牺牲材料层。
参考图1C,在所述第二栅极沟槽104n内填充牺牲材料层108。牺牲材料层108的材料可以选择为但不限于底部抗反射涂层、无定型硅、无定型碳或者有机材料(例如DUO,DUVLight Absorbing Oxide,深紫外线吸收氧化材料)或者其他适合的材料,所述牺牲材料层108的材料具有优良的填充沟槽的能力和很容易从沟槽中去除的性能。在半导体衬底100上沉积形成牺牲材料层108之后,执行平坦化工艺以露出层间介电层103,可以采用干法刻蚀或者化学机械研磨执行所述平坦化工艺。
接着,执行步骤S205,在所述第一栅极沟槽内的所述高k介电层上依次形成第一阻挡层和第一功函数层,并在所述第一栅极沟槽内填充第一金属栅极层。
在所述第一栅极沟槽104p内的所述高k介电层106上依次形成第一阻挡层110和第一功函数层111,并在所述第一栅极沟槽104p内填充第一金属栅极层109。
第一阻挡层110的材料可以选择为TaN,Ta,TaAl或者其他适合的薄膜层。可以采用CVD、ALD或者PVD等适合的工艺形成第一阻挡层110。第一阻挡层110的厚度范围为5埃至40埃。
在PMOS区域内的第一阻挡层110上形成第一功函数层111,第一功函数层111为P型功函数层,P型功函数层(PWF)的材料可以选择为但不限于TixN1-x、TaC、MoN、TaN或者其他适合的薄膜层。本实施例中,第一功函数层111较佳地为TiN。可以采用CVD、ALD或者PVD等适合的工艺形成P型功函数层。P型功函数层的厚度范围为10埃至580埃。
所述第一金属栅极层109的材料较佳地包括TiAl。还可以为其它具有非常好的间隙填充能力的适合的材料。可以采用CVD、ALD或者PVD等适合的工艺形成第一金属栅极层109。由于TiAl具有非常好的间隙填充能力,在采用上述工艺形成第一金属栅极层109中没有空洞的形成。再沉积覆盖第一栅极沟槽104p的第一金属栅极层后,还可利用化学机械研磨法(CMP)及/或一些全面性回蚀刻制程(Blanket etch back)蚀刻去除第一栅极沟槽104顶部以外的第一金属栅极层。
之后,执行化学机械研磨(CMP)工艺以平坦化NMOS区域和PMOS区域,以在PMOS区域中形成第一金属栅极层109。CMP工艺可以具有第一金属栅极层109对层间介电层103的高刻蚀选择比。采用CMP工艺可以去除第一金属栅极层109、第一功函数层111、第一阻挡层110以露出层间介电层103,并且使PMOS区域中的第一金属栅极层109的顶部、NMOS区域中的牺牲材料层108的顶部、栅极间隙壁和层间介电层103的顶部齐平。还可以采用回刻蚀工艺去除多余的第一金属栅极层109、第一功函数层111、第一阻挡层110以露出层间介电层103。
之后,在一个示例中,还可选择性地进行以下步骤:回蚀刻所述第一金属栅极层,以形成凹槽。
参考图1C,回蚀刻所述第一金属栅极层109,以形成凹槽112。在本发明的一具体实施例中,可以采用干法蚀刻执行回蚀刻工艺,干法蚀刻工艺包括但不限于:反应离子蚀刻(RIE)、离子束蚀刻、等离子体蚀刻或者激光切割。例如采用等离子体蚀刻,蚀刻气体可以采用基于氯化硼和氯气的气体。
所述回蚀刻工艺的深度可以根据实际工艺进行调整,在此不作具体限制。在此步骤中增加对第一金属栅极层109的回蚀刻工艺,可以使得在之后进行NMOS内填充第二金属栅极层例如W的制程时,CMP工艺的研磨均匀性更好,回蚀刻之后,第二金属栅极层还可同时填充回蚀刻后的第一金属栅极层上的凹槽,可以避免在对W金属栅极CMP过程中,由于第一金属栅极层和第二金属栅极层材质和硬度等的差异,而对第一金属栅极层的过研磨问题的产生。
接着,执行步骤S206,完全去除所述牺牲材料层,以暴露所述第二栅极沟槽内的所述高k介电层。
可以采用湿法刻蚀或者干法刻蚀去除第二栅极沟槽内的牺牲材料层。
在本发明的一具体实施例中,可以采用干法刻蚀去除牺牲材料层,干法蚀刻工艺包括但不限于:反应离子蚀刻(RIE)、离子束蚀刻、等离子体蚀刻或者激光切割。例如采用等离子体刻蚀,刻蚀气体可以采用基于氧气(O2-based)的气体。具体的,采用较低的射频能量并能产生低压和高密度的等离子体气体来实现干法刻蚀。作为一个实例,采用等离子体刻蚀工艺,采用的刻蚀气体为基于氧气(O2-based)的气体,刻蚀气体的流量范围可为50立方厘米/分钟(sccm)~150立方厘米/分钟(sccm),反应室内压力可为5毫托(mTorr)~20毫托(mTorr)。其中,干法刻蚀的刻蚀气体还可以是溴化氢气体、四氟化碳气体或者三氟化氮气体。需要说明的是上述蚀刻方法仅仅是示例性的,并不局限与该方法,本领域技术人员还可以选用其他常用的方法。
接着,执行步骤S207,在所述第二栅极沟槽内的所述高k介电层上依次形成第二功函数层和第二阻挡层。
参考图1D,在一个示例中,在所述第二栅极沟槽内的覆盖层107上依次形成第二功函数层113和第二阻挡层114。在此步骤中,还可形成掩膜层覆盖PMOS区域,以防止第二功函数层113和第二阻挡层114在PMOS区域内沉积。本步骤工艺完成后,再将掩膜层去除,较佳地掩膜层材料为光阻层。
在第二器件类型区域对应为NMOS区域时,第二功函数层113为N型功函数层,N型功函数层(NWF)为NMOS功函数可调层,N型功函数层的材料可以选择为但不限于TaAlC、TaC、Ti、Al、TixAl1-x或者其他适合的薄膜层。本实施例中,N型功函数层的材料较佳地为TaAlC。TaAlC中掺杂的碳可以有效抑制金属铝的扩散。可以采用CVD、ALD或者PVD等适合的工艺形成N型功函数层。N型功函数层的厚度范围为10埃至80埃。在N型功函数金属层上形成第二阻挡层114,第二阻挡层114的材料可以选择为但不限于TaN、Ta、TaAl或者其他适合的薄膜层。可以采用CVD、ALD或者PVD等适合的工艺形成第二阻挡层114。第二阻挡层114的厚度范围为5埃至20埃。
接着,执行步骤S208,在所述第二栅极沟槽内填充第二金属栅极层。
参考图1D,在所述第二栅极沟槽104n内和所述凹槽112内填充第二金属栅极层115。在第二阻挡层114上、第一金属栅极层109上沉积形成第二金属栅极层115。
第二金属栅极层115的材料可以选择为但不限于选自钨、银、金、锡中的一种或几种或者其他适合的薄膜层。本实施例中,较佳地第二金属栅极层115的材料为钨。可以采用CVD、ALD或者PVD等适合的工艺形成第二金属栅极层115。在采用上述工艺形成第二金属栅极层115的过程中没有空洞的形成。
之后,执行化学机械研磨(CMP)工艺以平坦化NMOS区域和PMOS区域,以在NMOS区域和PMOS区域中分别形成第二金属栅极层115。CMP工艺可以具有第二金属栅极层115对层间介电层103的高刻蚀选择比。采用CMP工艺去除多余的第二金属栅极层115以露出层间介电层103,并且使PMOS区域中的第二金属栅极层115的顶部、NMOS区域中的第二金属栅极层115的顶部、栅极间隙壁和层间介电层103的顶部齐平。还可以采用回刻蚀工艺去除多余的第二金属栅极层以露出层间介电层。
最终,在NMOS区域形成由第二金属栅极层115沟槽的金属栅极,在PMOS区域形成由第一金属栅极层109和第二金属栅极层115组成的叠层构成的金属栅极。
综上所述,根据本发明的制造方法,在PMOS区域形成材料为TiAl的金属栅极,在NMOS区域形成材料为W的金属栅极,本发明的制造方法可以提高PMOS区域的金属栅极的填充性能,同时有利于改善NMOS的不匹配特性,进而提高了器件的性能和良率。
实施例二
本发明还提供一种采用实施例一种方法制造获得的半导体器件。参考图3,本发明的半导体器件包括:
具有第一器件类型区域和第二器件类型区域的半导体衬底300。
其中,所述第一器件类型区域为PMOS区域,所述第二器件类型区域为NMOS区域,或者,所述第一区域为NMOS区域,所述第二区域为PMOS区域。以下,主要以第一器件类型区域为PMOS区域,第二器件类型区域为NMOS区域的情况对本发明的半导体器件进行阐述。
半导体衬底300的构成材料可以采用未掺杂的单晶硅、掺杂有杂质的单晶硅、绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。作为示例,半导体衬底300的构成材料选用单晶硅。
在半导体衬底300中形成有隔离结构301,隔离结构301可以为浅沟槽隔离(STI)结构或者局部氧化硅(LOCOS)隔离结构,在本实施例中,隔离结构较佳地为浅沟槽隔离结构。隔离结构301将半导体衬底300分为NFET区和PFET区。半导体衬底300中还形成有各种阱(well)结构,为了简化,图示中予以省略。
分别在所述第一器件类型区域和第二器件类型区域的所述半导体衬底300上形成有第一栅极结构31和第二栅极结构32,其中,
所述第一栅极结构31包括自下而上的高k介电层305p、第一阻挡层307、第一功函数层308、第一金属栅极层309,其中,所述半导体衬底300与所述高k介电层305p之间还形成有界面层304p,所述高k介电层305p和所述第一阻挡层307之间还形成有覆盖层306p。
所述第二栅极结构32包括自下而上的高k介电层305n、第二功函数层310、第二阻挡层311和第二金属栅极层312n,其中,所述半导体衬底300与所述高k介电层305n之间还形成有界面层304n,所述高k介电层305n和第二功函数层310之间还形成有覆盖层306n。
上述结构中,所述第一金属栅极层309和所述第二金属栅极层312n为不同的金属材质。
进一步地,所述第一栅极结构31还包括位于所述第一金属栅极层309上的与所述第二金属栅极层312n材质相同的第三金属栅极层312p。
界面(IL)层304p、304n的构成材料包括硅氧化物(SiOx),形成界面层的作用是改善高k介电层305p、305n与半导体衬底300之间的界面特性。IL层304p、304n的可以为热氧化层、氮的氧化物层、化学氧化层或者其他适合的薄膜层。可以采用热氧化、CVD、ALD或者PVD等适合的工艺形成界面层304p、304n。界面层304p、304n的厚度范围为5埃至10埃。
高k介电层305p、305n的k值(介电常数)通常为3.9以上,其构成材料包括氧化铪、氧化铪硅、氮氧化铪硅、氧化镧、氧化锆、氧化锆硅、氧化钛、氧化钽、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化铝等,较佳地是氧化铪、氧化锆或氧化铝。可以采用CVD、ALD或者PVD等适合的工艺形成高K介电层。高K介电层305p、305n的厚度范围为10埃至30埃。
覆盖层306p、306n的材料可以为La2O3、Al2O3、Ga2O3、In2O3、MoO、Pt、Ru、TaCNO、Ir、TaC、MoN、WN、TixN1-x或者其他适合的薄膜层。可以采用CVD、ALD或者PVD等适合的工艺沉积形成覆盖层306p、306n,较佳地,沉积形成所述覆盖层的方法为原子层沉积法。本实施例中,较佳地所述覆盖层306p、306n的材料为TiN。
第一阻挡层307的材料可以选择为TaN,Ta,TaAl或者其他适合的薄膜层。可以采用CVD、ALD或者PVD等适合的工艺形成第一阻挡层307。第一阻挡层307的厚度范围为5埃至40埃。
在PMOS区域内的第一阻挡层307上形成有第一功函数层308,第一功函数层308为P型功函数层,P型功函数层(PWF)的材料可以选择为但不限于TixN1-x、TaC、MoN、TaN或者其他适合的薄膜层。本实施例中,第一功函数层308较佳地为TiN。可以采用CVD、ALD或者PVD等适合的工艺形成P型功函数层。P型功函数层的厚度范围为10埃至580埃。
所述第一金属栅极层309的材料较佳地包括TiAl。还可以为其它具有非常好的间隙填充能力的适合的材料。可以采用CVD、ALD或者PVD等适合的工艺形成第一金属栅极层309。由于TiAl具有非常好的间隙填充能力,在采用上述工艺形成第一金属栅极层309中没有空洞的形成。
在第二器件类型区域对应为NMOS区域时,第二功函数层310为N型功函数层,N型功函数层(NWF)为NMOS功函数可调层,N型功函数层的材料可以选择为但不限于TaAlC、TaC、Ti、Al、TixAl1-x或者其他适合的薄膜层。本实施例中,N型功函数层的材料较佳地为TaAlC。TaAlC中掺杂的碳可以有效抑制金属铝的扩散。可以采用CVD、ALD或者PVD等适合的工艺形成N型功函数层。N型功函数层的厚度范围为10埃至80埃。在N型功函数金属层上形成有第二阻挡层311,第二阻挡层311的材料可以选择为但不限于TaN、Ta、TaAl或者其他适合的薄膜层。可以采用CVD、ALD或者PVD等适合的工艺形成第二阻挡层311。第二阻挡层311的厚度范围为5埃至20埃。
第二金属栅极层312n的材料可以选择为但不限于选自钨、银、金、锡中的一种或几种或者其他适合的薄膜层。本实施例中,较佳地第二金属栅极层312n的材料为钨。可以采用CVD、ALD或者PVD等适合的工艺形成第二金属栅极层312n。在采用上述工艺形成第二金属栅极层312n的过程中没有空洞的形成。
另外,在第一栅极结构31和第二栅极结构32的两侧壁上分别形成有紧靠栅极结构的偏移侧墙(offset spacer)。所述偏移侧墙的材料例如是氮化硅,氧化硅或者氮氧化硅等绝缘材料。
在第一栅极结构31和第二栅极结构32两侧源漏区生长应力层,在CMOS晶体管中,通常在NMOS晶体管上形成具有拉应力的应力层,在PMOS晶体管上形成具有压应力的应力层,CMOS器件的性能可以通过将所述拉应力作用于NMOS,压应力作用于PMOS来提高。现有技术中在NMOS晶体管中通常选用SiC作为拉应力层,在PMOS晶体管中通常选用SiGe作为压应力层。
作为优选,生长所述SiC作为拉应力层时,可以在所述衬底上外延生长,在离子注入后形成抬升源漏,在形成所述SiGe层时,通常在所述衬底中形成凹槽,然后在所述凹槽中沉积形成SiGe层。更优选,在所述衬底中形成“∑”形凹槽。
在一个示例中,在所述半导体衬底300上形成有接触孔蚀刻停止层302,在所述接触孔蚀刻停止层302上形成有层间介电层303,所述第一栅极结构31和所述第二栅极结构32间隔位于所述层间介电层303中,所述第一栅极结构31和所述第二栅极结构32的顶面和所述层间介电层303的顶面齐平。所述层间介电层303可为氧化硅层,包括利用热化学气相沉积(thermal CVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,层间介电层也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。接触孔蚀刻停止层302的材料优选氮化硅。
进一步地,本发明的半导体器件较佳地为FinFET器件。
综上所述,由于实施例一中的制造方法具有优异的效果,因此采用实施例一种的方法形成的半导体器件具有同样的优异的性能,本发明的半导体器件在PMOS区域采用材料TiAl作为金属栅极,在NMOS区域采用材料W作为金属栅极,有利于改善NMOS的不匹配特性,进而使得器件具有优异的性能。
本发明已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本发明限制于所描述的实施例范围内。此外本领域技术人员可以理解的是,本发明并不局限于上述实施例,根据本发明的教导还可以做出更多种的变型和修改,这些变型和修改均落在本发明所要求保护的范围以内。本发明的保护范围由附属的权利要求书及其等效范围所界定。

Claims (15)

1.一种半导体器件的制造方法,包括:
步骤S1:提供具有第一器件类型区域和第二器件类型区域的半导体衬底,并分别在所述第一器件类型区域和第二器件类型区域的所述半导体衬底上形成有第一伪栅极结构和第二伪栅极结构;
步骤S2:去除所述第一伪栅极结构和第二伪栅极结构,以分别形成第一栅极沟槽和第二栅极沟槽;
步骤S3:在所述第一栅极沟槽和第二栅极沟槽的底部和侧壁上形成高k介电层;
步骤S4:在所述第二栅极沟槽内填充牺牲材料层;
步骤S5:在所述第一栅极沟槽内的所述高k介电层上依次形成第一阻挡层、第一功函数层,并在所述第一栅极沟槽内填充第一金属栅极层,从而提高第一器件类型区域的金属栅极的填充性能;
步骤S6:完全去除所述牺牲材料层,以暴露所述第二栅极沟槽内的所述高k介电层;
步骤S7:在所述第二栅极沟槽内的所述高k介电层上依次形成第二功函数层和第二阻挡层;
步骤S8:在所述第二栅极沟槽内填充第二金属栅极层,从而有利于改善第二器件类型区域的不匹配特性。
2.根据权利要求1所述的制造方法,其特征在于,所述第一器件类型区域为PMOS区域,所述第二器件类型区域为NMOS区域。
3.根据权利要求1所述的制造方法,其特征在于,在所述步骤S3中,在形成所述高k介电层之前,还包括在所述第一栅极沟槽和所述第二栅极沟槽底部形成界面层的步骤。
4.根据权利要求1所述的制造方法,其特征在于,在所述步骤S3中,在形成所述高k介电层之后,还包括在所述高k介电层上形成覆盖层的步骤。
5.根据权利要求1所述的制造方法,其特征在于,所述牺牲材料层的材料为底部抗反射涂层、无定型硅、无定型碳或者深紫外线吸收氧化层。
6.根据权利要求1所述的制造方法,其特征在于,在所述步骤S5中,还包括步骤:回蚀刻所述第一金属栅极层,以形成凹槽。
7.根据权利要求6所述的制造方法,其特征在于,在所述步骤S8中,还包括在所述凹槽中填充所述第二金属栅极层的步骤。
8.根据权利要求1所述的制造方法,其特征在于,所述第一功函数层为P型功函数层,所述第二功函数层为N型功函数层。
9.根据权利要求8所述的制造方法,其特征在于,所述第二功函数层的材料包括TiAlC。
10.根据权利要求1所述的制造方法,其特征在于,所述第一金属栅极层的材料包括TiAl,所述第二金属栅极层的材料包括钨。
11.根据权利要求1所述的制造方法,其特征在于,在去除所述第一伪栅极结构和第二伪栅极结构之前,还包括下述步骤:在所述半导体衬底上形成覆盖所述第一伪栅极结构和第二伪栅极结构的接触孔蚀刻停止层;在所述接触孔蚀刻停止层上形成层间介电层;执行化学机械研磨依次研磨所述层间介电层和所述接触孔蚀刻停止层,直至露出所述第一伪栅极结构和第二伪栅极结构的顶部。
12.一种半导体器件,包括:
具有第一器件类型区域和第二器件类型区域的半导体衬底;
分别在所述第一器件类型区域和第二器件类型区域的所述半导体衬底上形成有第一栅极结构和第二栅极结构,其中,
所述第一栅极结构包括自下而上的高k介电层、第一阻挡层、第一功函数层、第一金属栅极层,
所述第二栅极结构包括自下而上的高k介电层、第二功函数层、第二阻挡层和第二金属栅极层,
所述第一金属栅极层和所述第二金属栅极层为不同的金属材质,所述第一金属栅极结构提高了第一器件类型区域的金属栅极的填充性能,所述第二金属栅极结构有利于改善第二器件类型区域的不匹配特性。
13.根据权利要求12所述的半导体器件,其特征在于,所述第一栅极结构还包括位于所述第一金属栅极层上的与所述第二金属栅极层材质相同的第三金属栅极层。
14.根据权利要求12所述的半导体器件,其特征在于,所述第二功函数层的材料包括TiAlC。
15.根据权利要求12所述的半导体器件,其特征在于,所述第一金属栅极层的材料包括TiAl,所述第二金属栅极层的材料包括钨。
CN201510615979.8A 2015-09-24 2015-09-24 一种半导体器件及其制造方法 Active CN106558547B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510615979.8A CN106558547B (zh) 2015-09-24 2015-09-24 一种半导体器件及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510615979.8A CN106558547B (zh) 2015-09-24 2015-09-24 一种半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN106558547A CN106558547A (zh) 2017-04-05
CN106558547B true CN106558547B (zh) 2019-12-24

Family

ID=58413970

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510615979.8A Active CN106558547B (zh) 2015-09-24 2015-09-24 一种半导体器件及其制造方法

Country Status (1)

Country Link
CN (1) CN106558547B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107546179B (zh) * 2016-06-29 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US11295989B2 (en) * 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903741A (zh) * 2011-07-28 2013-01-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN103066021A (zh) * 2011-10-19 2013-04-24 台湾积体电路制造股份有限公司 具有金属栅电极的半导体器件及其制造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4546201B2 (ja) * 2004-03-17 2010-09-15 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101692362B1 (ko) * 2011-06-22 2017-01-05 삼성전자 주식회사 식각 정지 절연막을 이용한 반도체 장치의 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903741A (zh) * 2011-07-28 2013-01-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN103066021A (zh) * 2011-10-19 2013-04-24 台湾积体电路制造股份有限公司 具有金属栅电极的半导体器件及其制造方法

Also Published As

Publication number Publication date
CN106558547A (zh) 2017-04-05

Similar Documents

Publication Publication Date Title
US9559190B2 (en) Semiconductor structure and manufacturing method thereof
US11024627B2 (en) High-K metal gate transistor structure and fabrication method thereof
US7939392B2 (en) Method for gate height control in a gate last process
KR102287552B1 (ko) 게이트 라스트 프로세스에서의 선택적 하이 k 형성
US8765556B2 (en) Method of fabricating strained structure in semiconductor device
US20130299876A1 (en) Method For Improving Selectivity Of EPI Process
US9985132B2 (en) Semiconductor device and fabricating method of a gate with an epitaxial layer
US20130244416A1 (en) Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
CN103137624A (zh) 高栅极密度器件和方法
US9570611B2 (en) Method and device for high k metal gate transistors
CN109390235B (zh) 半导体结构及其形成方法
US8802523B2 (en) CMOS device and fabrication method
CN107799461B (zh) 一种半导体器件的制造方法
TWI408809B (zh) 半導體裝置
US11848240B2 (en) Method of manufacturing a semiconductor device
WO2012000301A1 (zh) 一种半导体器件及其形成方法
TW201603184A (zh) 介質孔結構及其形成方法
CN107546179B (zh) 一种半导体器件及其制造方法
CN106558547B (zh) 一种半导体器件及其制造方法
CN107424926B (zh) 一种半导体器件及其制造方法
CN109103102B (zh) 半导体结构及其形成方法
TW202243018A (zh) 閘極間隙壁之形成方法
CN107564863B (zh) 一种半导体器件及其制造方法
TWI814212B (zh) 半導體裝置的製造方法
TWI798543B (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant