CN106104384A - 图案位置误差感知优化 - Google Patents

图案位置误差感知优化 Download PDF

Info

Publication number
CN106104384A
CN106104384A CN201580014364.8A CN201580014364A CN106104384A CN 106104384 A CN106104384 A CN 106104384A CN 201580014364 A CN201580014364 A CN 201580014364A CN 106104384 A CN106104384 A CN 106104384A
Authority
CN
China
Prior art keywords
pattern
layout
cost function
source
function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580014364.8A
Other languages
English (en)
Other versions
CN106104384B (zh
Inventor
D-F·S·徐
贾建军
刘晓峰
张翠平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN106104384A publication Critical patent/CN106104384A/zh
Application granted granted Critical
Publication of CN106104384B publication Critical patent/CN106104384B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

这里公开了一种改进光刻工艺的计算机实施的方法,光刻工艺用于使用光刻投影设备将设计布局的一部分成像到衬底上,该方法包括:计算作为光刻工艺的特性的多个设计变量的多变量代价函数;以及通过调整设计变量直到满足预定义终止条件来重新配置光刻工艺的特性。多变量代价函数可以为一个或多个图案偏移误差的函数。特性的重新配置可以在对一个或多个图案偏移误差的一个或多个约束下。

Description

图案位置误差感知优化
相关申请的交叉引用
本申请要求2014年3月18日提交的美国第61/955,015号临时申请的权益,此处以引证的方式将上述申请的全文并入。
技术领域
本说明书于此涉及光刻设备和工艺,更具体地涉及用于优化用于光刻设备或工艺的照射源和/或图案形成装置/设计布局的方法或工具。
背景技术
光刻投影设备例如可以用于集成电路(IC)的制造中。在这种情况下,图案形成装置(例如,掩模)可以含有或提供对应于IC的单层的电路图案(“设计布局”),并且该电路图案可以由方法(诸如借助图案形成装置上的电路图案辐射目标部分)转移到衬底(例如,硅晶片)上涂布有一层辐射敏感材料(“抗蚀剂”)的目标部分(例如,包括一个或多个管芯)上。通常,单个衬底含有电路图案由光刻投影设备连续转移(一次一个目标部分)到的多个相邻目标部分。在一种类型的光刻投影设备中,整个图案形成装置上的电路图案一下子转移到一个目标部分上;这种设备通常称为晶片步进器。在通常称为步进扫描设备的备选设备中,投影束在与给定参考方向(“扫描”方向)平行或反平行同步移动衬底的同时沿该参考方向扫描图案形成装置。电路图案在图案形成装置上的不同部分渐进地转移到一个目标部分。因为通常光刻投影设备将具有放大因数M(通常<1),所以移动衬底的速度F将为投影束扫描图案形成装置的速度的因数M倍。关于如这里所述的光刻装置的更多信息例如可以向此处以引证的方式并入的US 6,046,792学习。
在从图案形成装置向衬底转移电路图案之前,衬底可以经历各种过程(诸如涂底料、抗蚀剂涂覆以及软烘烤)。在曝光之后,衬底可以遭受其他过程(诸如曝光后烘烤(PEB)、显影、硬烘烤以及所转移电路图案的测量/检查)。该一系列过程用作制作器件(例如,IC)的单层的基础。衬底然后可以经历全部旨在完成器件的单层的各种工艺(诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等)。如果器件中需要若干层,那么对于各层重复整个过程或其变体。最终,器件将存在于衬底上的各目标部分中。这些器件然后由诸如切丁或锯切技术彼此分离,由此独立器件可以安装在载体上、连接到引脚等。
如所注意的,微光刻为制造IC中的核心步骤,其中,衬底上所形成的图案定义IC的功能元件(诸如微处理器、存储芯片等)。类似的光刻技术还用于形成平板显示器、微电子机械系统(MEMS)以及其他装置。
发明内容
一种改进光刻工艺的计算机实施的方法,所述光刻工艺用于使用光刻投影设备将设计布局的一部分成像到衬底上,所述方法包括:计算作为所述光刻工艺的特性的多个设计变量的多变量代价函数;以及在对一个或多个图案偏移误差的一个或多个约束下,通过调整所述设计变量直到满足预定义终止条件来重新配置所述光刻工艺的所述特性。
在方法的实施例中,所述一个或多个图案偏移误差为依赖于图案的。
在方法的实施例中,所述多变量代价函数为所述一个或多个图案偏移误差的显函数。
在方法的实施例中,所述一个或多个图案偏移误差包括在所述光刻设备的用户所选的位置处测量的图案偏移误差。
在方法的实施例中,所述一个或多个图案偏移误差包括两个相邻边缘处的边缘位置误差之间的差的函数。
在方法的实施例中,所述一个或多个图案偏移误差包括图案的预期投影与所述图案的实际或模拟投影之间的偏移。
在方法的实施例中,所述一个或多个图案偏移误差包括图案的预期投影的中心与所述图案的实际或模拟投影的中心之间的位移。
在方法的实施例中,所述位移沿两个垂直轴中的一个。
在方法的实施例中,计算所述多变量代价函数包括模拟所述设计布局的所述部分的抗蚀剂图像或空间图像。
在方法的实施例中,模拟抗蚀剂图像或空间图像包括使用源模型、投影光学器件模型以及设计布局模型。
在方法的实施例中,所述设计布局的所述部分包括从以下各项选择的一个或多个:整个设计布局、片段、设计布局已知具有关键特征的部分和/或所述设计布局已由图案选择方法识别关键特征的部分。
在方法的实施例中,预定义终止条件包括从以下条件选择的一个或多个:最小化代价函数、最大化代价函数、达到预设迭代次数、达到等于或超过预设阈值的代价函数值、达到预定义计算时间、和/或达到可接受误差限制内的代价函数值。
在方法的实施例中,在约束指示设计变量中的至少一些的范围的情况下执行迭代重新配置。
在方法的实施例中,所述设计变量中的至少一些处于代表所述光刻投影设备的硬件实施方式中的物理限制的约束下。
在方法的实施例中,所述代价函数为从以下各项选择的一个或多个的函数:边缘位置误差、临界尺寸、抗蚀剂轮廓距离、最差缺陷尺寸和/或最佳焦距偏移。
在方法的实施例中,代价函数通过求解多项式来最小化,该多项式包括设计变量的较高阶多项式。
在方法的实施例中,所述多个设计变量中的至少一些为所述光刻投影设备的照射源和所述设计布局的特性。
在方法的实施例中,所述代价函数为邻近效应的函数。
一种计算机程序产品,该计算机程序产品包括计算机可读介质,该计算机可读介质上记录有指令,所述指令在由计算机执行时,实施根据上述实施例中任意一项所述的方法。
附图说明
上述方面和其他方面以及特征将在本领域普通技术人员连同附图检阅具体实施例的以下描述时变得清晰,附图中:
图1是根据实施例的光刻系统的各种子系统的框图;
图2是对应于图2中的子系统的模拟模型的框图;
图3示出了优化光刻投影设备的一般方法的流程图;
图4示出了优化光刻投影设备的方法的流程图,其中交替执行全部设计变量的优化;
图5示出了使代价函数最小化的一个示例优化方法;
图6示意性示出了长特征上的图案位移误差的一个示例性测量;
图7示意性示出了短特征上的图案位移误差的一个示例性测量;
图8示出了具有若干偏移基准量的片段;
图9示出了用不同图案偏移权重进行的三个优化中的图案位移误差的三个直方图;
图10示出了分别在b=0、b=4以及b=10的情况下在使用方程40的代价函数的优化之后的、在图案位移误差±0.4nm以及CD容差±10%下的三个工艺窗口;
图11是可以实施实施例的示例计算机系统的框图;
图12是另一光刻投影设备的示意图;
图13是图12中的设备的更详细图;以及
图14是图12和图13的设备的源收集器模块SO的更详细图。
具体实施方式
现在将参照附图详细描述实施例,该实施例被提供为图示示例,以便使得本领域技术人员能够实践实施例。值得注意,下面的附图和示例不意味着将范围限于单个实施例,而是其他实施例通过互换所述或所图示元件中的一些或全部而是可以的。在方便的任何地方,相同的附图标记将贯穿附图用于表示相同或类似的零件。在这些实施例的特定元件可以使用已知组件部分或完全实施的情况下,将仅描述理解实施例必需的这种已知组件的那些部分,并且将省略这种已知组件的其他部分的详细描述,以便不使实施例的描述模糊。在本规范中,示出了单数组件的实施例不应被认为是限制;相反,范围旨在包含包括多个相同组件的其他实施例,反之亦然,除非这里另外明确叙述。而且,申请人不打算使规范或权利要求中的任意术语归因于不普通或特殊含义,除非在此明确另有阐述。进一步地,范围包含这里用图示的方式提及的组件的现在和将来已知的等同物。
随着半导体制造工艺继续进步,数十年来在每个器件的功能元件(诸如晶体管)的数量稳定增加的同时持续减小功能元件的尺寸,这遵循俗称“摩尔定律”的趋势。在当前的技术状态下,器件的层使用光刻投影设备来制造,光刻投影设备使用来自深紫外照射源的照射来将设计布局投影到衬底上,产生具有远低于100nm(即,小于来自照射源(例如,193nm照射源)的辐射波长的一半)尺寸的各个功能元件。
打印具有小于光刻投影设备的传统分辨率限制的尺寸的特征的该工艺根据分辨率公式CD=k1×λ/NA通常称为低k1光刻,其中,λ为所采用辐射的波长(当前在多数情况下为248nm或193nm),NA为光刻投影设备中的投影光学器件的数值孔径,CD为“临界尺寸”(通常为所打印的最小特征尺寸),并且k1为经验分辨率因数。通常,k1越小,在衬底上重制比拟由电路设计者为了实现特定电气功能和性能而规划的形状和尺寸的图案变得越困难。为了克服这些困难,对光刻投影设备和/或设计布局应用复杂的微调步骤。这些例如包括但不限于NA和光学一致性设置的优化、定制照射方案、相移图案形成装置的使用、设计布局的光学邻近效应校正(OPC,有时还称为“光学和处理校正”)或通常定义为“分辨率增强技术(RET)”的其他方法。如这里所用的术语“投影光学器件”应被广泛地解释为包含各种类型的光学系统,例如包括折射光学器件、反射光学器件、孔径以及反射折射的光学器件。术语“投影光学器件”还可以包括根据用于引导、成形或控制辐射的投影束的这些设计类型中任意一个集中或单独操作的组件。术语“投影光学器件”可以包括光刻投影设备中的任意光学组件,无论光学组件在光刻投影设备的光学路径上位于什么位置。投影光学器件可以包括用于在辐射穿过图案形成装置之前成形、调节和/或投影来自源的辐射的光学组件和/或用于在辐射穿过图案形成装置之后成形、调节和/或投影来自源的辐射的光学组件。投影光学器件通常不包括源和图案形成装置。
作为示例,OPC解决投影在衬底上的设计布局的图像的最终尺寸和位置将与设计布局在图案形成装置上的尺寸和位置不同或简单地仅取决于设计布局在图案形成装置上的尺寸和位置的事实。注意,术语“掩模”、“掩模版”、“图案形成装置”在这里可互换地使用。同样地,本领域技术人员将意识到,因为在光刻模拟/优化中,不是必须使用物理图案形成装置,而是设计布局可以用于代表物理图案形成装置,所以特别是在光刻模拟/优化的场境中,术语“掩模”、“图案形成装置”以及“设计布局”可以互换的使用。对于存在于一些设计布局上的小特征尺寸和高特征密度,给定特征的特定边缘的位置将一定程度上受其他邻近特征的有无影响。这些邻近效应由从一个特征耦合到另一个的微小数量的辐射和/或非几何光学效应(诸如衍射和干扰)引起。类似地,邻近效应可能由通常在光刻之后的曝光后烘烤(PEB)、抗蚀剂显影以及蚀刻期间的扩散和其他化学效应引起。
为了确保设计布局的所投影图像符合给定目标电路设计的要求,需要预测邻近效应,并且需要对于设计布局的校正或预形变使用复杂的数字模型来补偿邻近效应。文章“Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing ICDesign”,C.Spence,Proc.SPIE,Vol.5751,pp 1-14(2005)提供了当前“基于模型”光学邻近效应校正处理的综述。在典型的高端设计中,为了实现到目标设计的所投影图像的高保真度,几乎设计布局的每个特征具有一些修改。这些修改可以包括边缘位置或线宽的偏移或偏置以及旨在辅助其他特征投影的“辅助”特征的应用。
将基于模型的OPC应用于目标设计涉及良好的工艺模型和相当多的计算资源,考虑到通常存在于芯片设计中的数以百万计的特征。然而,应用OPC通常不是精密科学,而是不总是补偿所有可能的邻近效应的经验迭代过程。因此,为了使内置于图案形成装置图案中的设计缺陷的可能性最小化,需要由设计检查(即,使用校准的数值工艺模型进行的加强全芯片模拟)验证OPC的效果(例如,应用OPC以及任意其他RET之后的设计布局)。这由制作高端图案形成装置(该装置在数百万美元的范围内运行)的巨额成本且由一旦已制造实际图案形成装置则返工或维修实际图案形成装置对处理时间的影响来推动。
OPC和全芯片RET验证可以基于如例如美国第10/815,573号专利申请以及Y.Cao等人的Proc.SPIE.Vol.5754,405(2005)、标题为“Optimized Hardware and Software ForFast,Full Chip Simulation”的文章所述的数值建模系统和方法。
一个RET与设计布局全局偏置的调整有关。全局偏置为设计布局中的图案与旨在打印在衬底上的图案之间的差异。例如,25nm直径的圆形图案可以由设计布局中的50nm直径图案或由设计布局中但具有高剂量的20nm直径图案打印在衬底上。
为了提高整体光刻保真度,除了优化设计布局或图案形成装置(例如,OPC)之外,还可以与图案形成装置优化共同或独立优化照射源。术语“照射源”和“源”在该文献中可互换地使用。自从二十世纪九十年代以来,已引入许多离轴照射源(诸如环形、四极以及偶极),并且提供OPC设计的更多个自由度,从而改善成像结果。如已知的,离轴照射为分辨图案形成装置中所含的精细结构(即,目标特征)的经证实的方式。然而,当与传统照射源相比时,离轴照射源通常为空间图像(AI)提供更少的辐射强度。由此,变得期望尝试优化照射源,以实现更高分辨率与降低的辐射强度之间的最佳平衡。
大量照射源优化方法例如可以Rosenbluth等人的Journal ofMicrolithography,Microfabrication,Microsystems 1(1),pp.13-20,(2002),标题为“Optimum Mask and Source Patterns to Print A Given Shape”的文章中找到。源被定位到若干区域中,各个区域对应于多个光谱中的特定区域。然后,假定源分布在各源区域中一致,则对于工艺窗口优化各区域的亮度。然而,源分布在各源区域中一致的这种假定不总是有效,因此,该方法的有效性受损。在Granik的Journal of Microlithography,Microfabrication,Microsystems 3(4),pp.509-522,(2002),标题为“SourceOptimization for Image Fidelity and Throughput”的文章中阐述的另一个示例中,综述了若干现有源优化方法,并且提出了一种将源优化问题转换为一系列非负最小二乘优化的、基于照射器像素的方法。虽然这些方法已演示一些成功,但它们通常需要覆盖多个复杂的迭代。另外,可能难以确定一些附加参数(诸如指示优化用于衬底图像保真度的源与源的平滑度要求之间的权衡的、格拉尼克的方法中的γ)的适当/最佳值。
对于低k1光刻,源和图案形成装置这两者的优化用于确保用于投影关键电路图案的可行工艺窗口。一些算法(例如,Socha等人Proc.SPIE vol.5853,2005,p.180)将照射离散为独立的源点且遮蔽为空间频域中的衍射级,并且基于工艺窗口指标(诸如可以根据源点强度和图案形成装置衍射级由光学成像模型预测的曝光宽容度)单独制定代价函数(该函数被定义为所选设计变量的函数)。如这里所用的术语“设计变量”包括光刻投影设备的一组参数(例如,光刻投影设备的用户可以调整的参数)。应理解,光刻投影工艺的任意特性(包括源、图案形成装置、投影光学器件的那些特性)和/或抗蚀剂特性在优化时可以在设计变量中。代价函数通常为设计变量的非线性函数。然后,标准优化技术用于使代价函数最小化。
相关地,曾经降低设计规则的压力已驱动半导体芯片制造商用现有193nm ArF光刻深入低k1光刻时代。朝向更低k1的光刻大量需求RET、曝光工具以及对光刻友好设计的需要。1.35ArF混合数值孔径(NA)曝光工具可以在将来使用。为了帮助确保可以用可行的工艺窗口将电路设计生产到衬底上,源图案形成装置优化(这里称为源掩模优化或SMO)变成2xnm节点的重要RET。
2009年11月20日提交且被公布为WO2010/059954的标题为“Fast Freedom Sourceand Mask Co-Optimization Method”的第PCT/US2009/065359号公共受让国际专利申请中描述了允许在没有约束的情况下且在可实践时间内使用代价函数同时优化源和图案形成装置的源和图案形成装置(设计布局)优化方法和装置,此处以引证的方式将该申请的全文并入。
2010年6月10日提交且被公布为美国第2010/0315614号专利申请的标题为“Source-Mask Optimization in Lithographic Apparatus”的公共受让的美国第12/813456号专利申请中描述了涉及通过调整源的像素优化源的另一个源和图案形成装置优化方法和系统。
虽然在该正文中对将实施例用于制造IC进行了特定参考,但应明确理解,实施例具有许多其他可以的应用。例如,实施例可以用于制造集成光学系统、用于磁域存储器的引导和检测模式、液晶显示器平板、薄膜磁头等。技术人员将理解,在这种备选应用的场境下,该正文中术语“掩模版”、“晶片”或“管芯”的任意使用可以被认为分别与更通用的术语“掩模”、“衬底”或“目标部分”同义。
在本文献中,术语“辐射”和“束”用于包含所有类型的电磁辐射(包括紫外辐射(例如,具有365、248、193、157或126nm的波长)和EUV(极紫外辐射,例如,具有5-20nm范围内的波长))。
如这里所用的术语“优化”意指调节光刻投影设备,使得光刻的结果和/或工艺具有更多期望的特性(诸如衬底上设计布局的更高投影准确度、更大的工艺窗口等)。
进一步地,光刻投影设备可以为具有两个(和/或两个或更多个图案形成装置台)的类型。在这种“多平台”装置中,另外的台可以平行使用,或者可以对一个或多个台进行准备步骤,而一个或多个其他台用于曝光。例如US 5,969,441中描述了双平台光刻投影设备,此处以引证的方式并入。
上面提及的图案形成装置包括设计布局。设计布局可以使用CAD(计算机辅助设计)程序来生成,该工艺通常称为EDA(电子设计自动化)。为了产生功能设计布局/图案形成装置,多数CAD程序遵循一组预定设计规则。这些规则由处理和设计限制来设置。例如,设计规则定义电路装置(诸如栅极、电容器等)或互联线路之间的空间容差,以便确保电路装置或线路不以不期望的方式彼此相互作用。设计规则限制通常称为“临界尺寸”(CD)。电路的临界尺寸可以被定义为线路或空或两个线路或两个孔之间的最小空间。由此,CD确定所设计电路的总尺寸和密度。集成电路制造中的目标中的一个是(经由图案形成装置)在衬底上如实地再现初始电路设计。
如该正文中采用的术语图案形成装置可以被广泛地解释为提及可以用于给予入射辐射束图案化横截面(该横截面对应于要产生在衬底中的目标部分中的图案)的通用图案形成装置;术语“光阀”也可以用于该场境中。除了传统掩模(透射或反射的;二元的、相移的、混合的等),其他这种图案形成装置的示例包括:
–可编程反射镜阵列。这种装置的示例为具有粘弹性控制层和反射面的矩阵寻址表面。这种设备后面的基本原理是(例如)反射面的所定址区域将入射辐射反射为衍射辐射,而未定址区域将入射辐射反射为未衍射辐射。使用适当滤光片,可以从所反射束滤除所述未衍射辐射,仅留下未衍射辐射在后面;以该方式,束根据矩阵寻址表面的寻址图案而变得图案化。关于这种反射镜阵列的更多信息可以例如从美国第5,296,891号和第5,523,193号专利收集,此处以引证的方式将该专利并入。
–可编程LCD阵列。美国第5,229,872号专利给出了这种构造的示例,此处以引证的方式将该专利并入。
作为简介,图1图示了示例性光刻投影设备10。主要组件为照射源12,该照射源可以为深紫外准分子激光源或其他类型的源(包括极紫外(EUV)源、定义部分相干性(表示为西格玛)且可以包括成形来自源12的辐射的光学器件14、16a以及16b的照射光学器件);图案形成装置(例如,掩模或掩模版)18;以及透射光学器件16c,该透射光学器件16c将图案形成装置图案的图像投影到衬底平面22上。投影光学器件的光瞳面处的可调滤光片或孔径20可以限制撞击在衬底平面22上的束的角度范围,其中,最大可以的角度定义投影光学器件的数值孔径NA=sin(θmax)。
在系统的优化工艺中,系统的品质因数可以表示为代价函数。优化工艺归结为找到该系统的最小化代价函数的一组参数(设计变量)。代价函数根据优化的目标可以具有任何合适的形式。例如,代价函数可以为系统的某些特性(评估点)关于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS);代价函数还可以为这些偏差的最大值。这里的术语“评估点”应被广泛地解释为包括系统的任意特性。系统的设计变量可以由于系统的实施方案的实用性而被限制为有限范围和/或相互独立。在光刻投影设备的情况下,约束还通常与硬件的物理性质和特性(诸如可调谐范围)和/或图案形成装置可制造性设计规则关联,并且评估点可以包括衬底上的抗蚀剂图像上的物理点以及非物理特性(诸如剂量和焦距)。
在光刻投影设备中,源提供照射(即,辐射);投影光学器件经由图案形成装置将照射引导并成形至衬底上。术语“投影光学器件”在这里被广泛地定义为包括可以改变辐射束的波前的任意光学组件。例如,投影光学器件可以包括组件14、16a、16b以及16c中的至少一些。空间图像(AI)为衬底上的辐射强度分布。衬底上的抗蚀剂层被曝光,并且空间图像被转移到抗蚀剂层,作为其中的潜“抗蚀剂图像”(RI)。抗蚀剂图像(RI)可以被定义为抗蚀剂层中的抗蚀剂的溶解度的空间分布。抗蚀剂模型可以用于根据空间图像来计算抗蚀剂图像,其示例可以在共同受让的美国专利申请序列号12/315,849中找到,再次以引证的方式将该申请的公开全文并入。抗蚀剂模型仅与抗蚀剂层的性质(例如,曝光期间发生的化学过程的效果、PEB以及显影)有关。光刻投影设备的光学性质(例如,源、图案形成装置以及投影光学器件的性质)决定空间图像。因为可以改变用于光刻投影设备中的图案形成装置,所以期望将图案形成装置的光学性质与至少包括源和投影光学器件的光刻投影设备的剩余部分的光学性质分开。
图2图示了用于模拟光刻投影设备中的光刻的示例性流程图。源模型31代表源的光学特性(包括辐射强度分布和/或相位分布)。投影光学器件模型32代表投影光学器件的光学性质(包括由投影光学器件引起的辐射强度分布和/或相位分布的变化)。投影光学器件模型32可以包括由各种因素(例如,加热投影光学器件的组件、由投影光学器件的组件的机械连接引起的应力)引起的像差。源模型31和投影光学器件模型32可以组合成传递交叉系数(TCC)模型。设计布局模型33代表设计布局的光学特性(包括由给定设计布局引起的辐射强度分布和/或相位分布的变化),设计布局代表图案形成装置的特征的布置。空间图像36可以从源模型31、投影光学器件模型32以及设计布局模型33模拟。抗蚀剂图像38可以使用抗蚀剂模型37从空间图像36模拟。光刻的模拟可以例如预测抗蚀剂图像中的轮廓和CD。
更具体地,注意,源模型31可以代表源的光学特性,该光学特性包括但不限于NA-西格玛(σ)设置以及任意特定照射源形状(例如,离轴辐射源,诸如环形、四极以及偶极等)。投影光学器件模型32可以代表投影光学器件的光学特性,该光学特性包括像差、失真、折射率、物理大小、物理尺寸、吸收等。设计布局模型33还可以代表如例如美国第7,587,704号专利所述的物理图案形成装置的物理性质,以引证的方式将该专利的全文并入。模拟的目的是准确地预测例如边缘位置和CD,该边缘位置和CD然后可以与预期设计进行比较。预期设计通常被定义为可以以标准化数字文件格式(诸如GDSII或OASIS或其他文件格式)提供的预OPC设计布局。
根据该设计布局,可以识别一个或多个部分,该一个或多个部分称为“片段”。在具体实施例中,提取代表设计布局中的复杂图案的一组片段(通常大约为50至1000个片段,但可以使用任意数量的片段)。如本领域技术人员将理解的,这些图案或片段代表设计的小部分(即,电路、单元或图案),并且特别地,片段代表需要特别注意和/或验证的小部分。换言之,片段可以为设计布局的部分,或者可以是类似的,或具有关键特征由经验(包括由消费者提供的片段)、由反复试验或通过运行全芯片模拟所识别的设计布局的部分的类似行为。片段通常含有一个或多个测试图案或基准量图案。
可以由消费者基于需要特定图像优化的设计布局中的已知关键特征区域优先地提供初始的较大的一组片段。备选地,在另一实施例中,初始的较大的一组片段可以通过使用识别关键特征区域的某种自动化(诸如,机器视觉)或手动算法从整个设计布局提取。
优化方法的示例可以在例如2010年10月28日提交的美国第12/914,946编号专利申请中找到,在此以引证的方式将该申请的全文并入。
在一个或多个实施例中,优化可以使用代价函数来执行,诸如
其中,(z1,z2,…,zN)为N个设计变量或其值;fp(z1,z2,…,zN)可以为用于设计变量(z1,z2,…,zN)的一组值的第p个评估点处的特征的实际值与预期值之间的差的函数。wp值是分配给第p个评估点的权重常数。比其他评估点或图案更关键的点或图案可以分配有更高的wp值。具有更大发生次数的图案和/或评估点可以也可以分配有更高的wp值。评估点的示例可以为晶片上的任意物理点或图案、或设计布局上的任意点、或抗蚀剂图像、或空间图像。
代价函数可以代表光刻投影设备置或衬底的任意合适特性(比如,焦距、CD、图像偏移、图像失真、图像旋转等)。例如,代价函数可以为以下光刻指标中的一个或多个的函数:边缘位置误差、临界尺寸、抗蚀剂轮廓距离、最差缺陷尺寸、随机效应、图案形成装置的三维效应、抗蚀剂的三维效应、最佳焦距偏移、光瞳填充因子、曝光时间以及生产量。因为抗蚀剂图像通常决定衬底上的电路图案,所以代价函数通常包括代表抗蚀剂图像的一些特性的函数。例如,这种评估点的fp(z1,z2,…,zN)可以简单地为抗蚀剂图像中的点至该点的预期位置之间的距离(即,边缘位置误差EPEp(z1,z2,…,zN))。设计变量可以为任意可调节参数(诸如,源、图案形成装置、投影光学器件、剂量、焦距等的可调节参数)。投影光学器件可以包括总称为可以用于调节辐射束的波前和强度分布和/或相移的形状的“波前操作器”的组件。投影光学器件可以调节沿着光刻投影设备的光学路径的任意位置处(诸如图案形成装置之前、光瞳面附近、图像平面附近、焦平面附近)波前和的强度分布。投影光学器件可以用于校正或补偿由例如光刻投影设备中的源、图案形成装置、温度变化和/或光刻投影设备的组件的热膨胀引起的波前和强度分布的某些变形。调节波前和强度分布可以改变评估点和代价函数的值。这种变化可以根据模型来模拟或者可以是实际测量的。
应注意,fp(z1,z2,…,zN)的正常加权均方根(RMS)被定义为因此,使fp(z1,z2,…,zN)的加权RMS最小化等效于使方程1中定义的代价函数最小化。因此,为简化符号,fp(z1,z2,…,zN)的加权RMS和方程1在这里可以互换地使用。
进一步地,如果使PW(工艺窗口)最大化,则可以将来自不同PW条件的同一物理位置认为(方程1中)代价函数中的不同评估点。例如,如果考虑N个PW条件,那么评估点可以根据它们的PW条件来分类,并且代价函数可以写为:
其中,fpu(z1,z2,…,zN)为第u(u=1,…,U)个PW条件下用于设计变量(z1,z2,…,zN)的一组值的第pi个评估点的实际值与预期值之间的差的函数。当差为边缘位置误差(EPE)时,那么使上述代价函数最小化相当于在各种PW条件下使边缘偏移最小化,由此,这导致使PW最大化。具体地,如果PW还由不同的图案形成装置偏置构成,那么使上述代价函数最小化还包括使被定义为晶片EPE与所引起的掩模边缘偏置之间的比的MEEF(掩模误差增强因子)最小化。
设计变量及其函数可以具有约束,这可以表达为(z1,z2,…,zN)∈Z,其中,Z为设计变量的一组可能值。约束可以代表光刻投影设备的硬件实施方式中的物理限制。约束可以包括以下约束中的一个或多个:调谐范围、管理图案形成装置可制造性的规则以及设计变量之间的互相依赖。
因此,优化工艺是在寻找设计变量的一组值,其在约束(z1,z2,…,zN)∈Z下使代价函数最小化,即,寻找
图3中图示了根据实施例的优化光刻投影设备的一般方法。该方法包括步骤302:定义多个设计变量的多变量代价函数。设计变量可以包括从照射源的特性(300A)(例如,光瞳填充比,即,穿过光瞳或孔径的源的辐射的百分比)、投影光学器件的特性(300B)以及设计布局的特性(300C)选择的任意合适组合。例如,设计变量可以包括照射源的特性(300A)和设计布局的特性(300C)(例如,全局偏置),但不包括投影光学器件的特性(300B),这产生SMO。备选地,设计变量可以包括照射源的特性(300A)、投影光学器件的特性(300B)以及设计布局的特性(300C),这产生源掩模透镜优化(SMLO)。在步骤304中,同时调节设计变量,使得代价函数朝向收敛移动。在步骤306中,确定是否满足预定义终止条件。预定终止条件可以包括各种可能性(即,可以根据由所使用的数值技术要求的使代价函数最小化或最大化,代价函数的值等于阈值或已跨过阈值,代价函数的值已到达预设误差限制内或到达预设数量的迭代)。如果满足步骤306中的条件之一,则方法结束。如果步骤306中的条件都不满足,则迭代地重复步骤304和步骤306,直到获得期望的结果。因为可能存在由因素(诸如光瞳填充因子、抗蚀剂化学性质、生产量等)引起的物理限制,所以优化不是必须产生用于设计变量的单组值。优化可以提供用于设计变量和所关联性质特性(例如,生产量)的多组值,并且允许光刻设备的用户拾取一个或多个组。
在另一实施例中,代替或附加于计算和/或确定对投影光学期间的光学特性的影响,预想投影光学器件的可调光学特性可以被包括在设计变量中。示例性可调光学特性可以包括(如透镜操作器)与用于控制投影系统的光学元件的温度的一个或多个装置(例如,加热器)的温度数据关联的温度数据或信号、泽尔尼克系数。然后,可以进行SMO过程,并且可以同时调节包括可调光学特性的设计变量,使得代价函数朝向收敛移动。
在图3中,同时执行全部设计变量的优化。这种流程可以称为同时优化、共同优化或共优化。如这里所使用的术语“同时的”、“同时地”、“共同的”以及“共同地”意味着允许源、图案形成装置、投影光学器件的特性的设计变量和/或任意其他设计变量同时变化。备选地,可以如图4中图示交替地执行全部设计变量的优化。在该流程中,在各步骤中,一些设计变量固定,而其他设计变量被优化以使代价函数最小化;然后,在下一步骤中,不同的一组变量固定,而其他被优化以使代价函数最小化。交替地执行这些步骤,直到收敛或满足某些终止条件。如图4的非限制示例流程图所示,首先获得设计布局(步骤402),然后在步骤404中执行源优化的步骤,其中,照射源的全部设计变量被优化(SO)以使代价函数最小化,而所有其他设计变量固定。然后,在下一步骤406中,执行掩模优化(MO),其中图案形成装置的全部设计变量被优化以使代价函数最小化,而所有其他设计变量固定。交替执行这两个步骤,直到在步骤408中满足某些终止条件。可以使用各种终止条件(诸如,代价函数的值变得等于阈值、代价函数的值跨过阈值、代价函数的值达到预设误差限制内或达到预设数量的迭代等)。注意,SO-MO交替优化用作备选流程的示例。该备选流程可以采取许多不同的形式(诸如SO-LO-MO交替优化,其中,交替且迭代地执行SO、LO(透镜优化)以及MO;或可以首先执行SMO一次,然后交替且迭代地执行LO和MO;等)。最后,在步骤410中获得优化结果的输出,并且该过程停止。
如前所讨论的图案选择算法可以与同时或交替优化集成。例如,当采用交替优化时,可以首先执行全芯片SO,识别“热点”和/或“温点”,然后执行MO。鉴于本公开,为了实现期望的优化结果,子优化的大量排列组合是可以的。
图5示出了优化的示例性方法,其中使代价函数最小化。在步骤502中,获得设计变量的初始值,包括它们的调谐范围(若有的话)。在步骤504中,建立多变量代价函数。在步骤506中,对于第一迭代步骤(i=0)在设计变量的起始点值周围的足够小的邻域内展开代价函数。在步骤508中,应用标准多变量优化技术以使代价函数最小化。注意,优化可以在508中的优化过程期间或在优化过程中的后期阶段具有约束(诸如,调谐范围)。每一次迭代针对用于被选择为优化光刻工艺的所识别评估点的给定测试图案(还称为“基准量”)进行。在步骤510中,预测光刻响应(例如,空间图像、抗蚀剂图像的某些特性或光刻工艺的某些特性,诸如工艺窗口)。在步骤512中,将步骤510的结果与期望或理想光刻响应值进行比较。如果在步骤514中满足终止条件(即,优化生成足够靠近期望值的光刻响应值),那么在步骤518中输出设计变量的终值。输出步骤还可以包括输出使用设计变量的终值的其他函数(诸如输出在光瞳面(或其他平面)处的波前像差调节图、优化源图以及优化的设计布局等)。如果未满足终止条件,那么在步骤516中,用第i次迭代的结果更新设计变量的值,并且过程返回到步骤506。下面详细阐述图5的过程。
在示例性优化过程中,除了fp(z1,z2,…,zN)足够平滑(例如,存在一阶导数(n=1,2,…,N))之外,假定或近似设计变量(z1,z2,…,zN)与fp(z1,z2,…,zN)之间没有关系,这在光刻投影设备中通常是有效的。算法(诸如高斯-牛顿算法、列文伯格-马夸尔特算法、梯度下降算法、模拟退火、遗传算法)可以应用于寻找
这里,高斯-牛顿算法用作示例。高斯-牛顿算法为可应用于一般的非线性多变量优化问题的迭代方法。在第i次迭代中,设计变量(z1,z2,…,zN)取值(z1i,z2i,…,zNi),高斯-牛顿算法使(z1i,z2i,…,zNi)附近的fp(z1,z2,…,zN)线性化,然后计算给出CF(z1,z2,…,zN)的最小值的(z1i,z2i,…,zNi)附近的值(z1(i+1),z2(i+1),…,zN(i+1))。设计变量(z1,z2,…,zN)在第(i+1)次迭代中取值(z1(i+1),z2(i+1),…,zN(i+1))。该迭代继续,直到收敛(即,CF(z1,z2,…,zN)不再进一步降低)或达到预定次数的迭代。
具体地,在第i次迭代中,在(z1i,z2i,…,zNi)附近,
在方程3的近似中,代价函数变为:
4),该函数为设计变量(z1,z2,…,zN)的二次函数。除了设计变量(z1,z2,…,zN)之外每一项都是常数。
如果设计变量(z1,z2,…,zN)未在任何约束下,则(z1(i+1),z2(i+1),…,zN(i+1))可以由求解N个线性方程导出:
其中,n=1,2,…,N。
如果设计变量(z1,z2,…,zN)在J个不等式(j=1,2,…,J)(例如,(z1,z2,…,zN)的调谐范围)和K个不等式(k=1,2,…,K)(例如,设计变量之间的互相依赖)形式的约束下,优化过程变为传统二次编程问题,其中,Knj、Bj、Cnk、Dk为常数。可以对每次迭代施加附加的约束。例如,可以引入“阻尼因数”ΔD来限制(z1(i+1),z2(i+1),…,zN(i+1))与(z1i,z2i,…,zNi)之间的差,使得方程3的近似成立。这种约束可以表达为ZniD≤Zn≤ZniD。(z1(i+1),z2(i+1),…,zN(i+1))可以使用例如Jorge Nocedal和StephenJ.Wright的数值优化(第二版)(柏林纽约:Vandenberghe.剑桥大学出版社)中所述的方法来导出。
代替使fp(z1,z2,…,zN)的RMS最小化,优化过程可以使评估点中的最大导数(最差缺陷)的幅值最小化到它们的预期值。再改防范中,代价函数可以备选地表达为:
其中,CLp为fp(z1,z2,…,zN)的最大允许值。该代价函数代表评估点中的最差缺陷。使用该代价函数优化使最差缺陷的幅值最小化。迭代贪心算法可以用于该优化。
方程5的代价函数可以近似为:
其中,q为正偶数(诸如至少为4,优选地至少为10)。方程6模拟方程5的行为,同时允许优化被解析地执行且通过使用方法(诸如最深下降法、共轭梯度法等)来加速。
使最差缺陷尺寸最小化还可以与fp(z1,z2,…,zN)的线性化组合。具体地,fp(z1,z2,…,zN)如在方程3中被近似。然后,对最差缺陷尺寸的约束被写为不等式ELp≤fp(z1,z2,…,zN)≤EUp,其中,ELp和EUp为指定fp(z1,z2,…,zN)的最小和最大允许偏差的两个常数。插入方程3中,这些约束被转换为(对于p=1,…,P):
因为方程3通常仅在(z1,z2,…,zN)附近有效,所以假使在这种附近无法实现期望的约束ELp≤fp(z1,z2,…,zN)≤EUp(这可以由不等式之中的任意冲突来确定),可以松弛常数ELp和EUp,直到约束可实现。该优化处理使(z1,z2,…,zN)附近的最差缺陷尺寸i最小化。然后,每个步骤逐渐减小最差缺陷尺寸,并且迭代地执行各步骤,直到满足某些终止条件。这将产生最差缺陷尺寸的最佳降低。
使最差缺陷最小化的另一个方式是在每次迭代中调节权重wp。例如,在第i次迭代之后,如果第r个评估点为最差缺陷,则可以在第(i+1)次迭代中增大wp,使得该评估点的缺陷尺寸的降低被给予更高的优先级。
另外,方程4和方程5中的代价函数可以通过引入拉格朗日乘子来修改,以实现对缺陷尺寸的RMS的优化与最差缺陷尺寸的优化之间的折衷,即,
其中,λ为指定缺陷尺寸的RMS的优化与最差缺陷尺寸的优化之间的权衡的预设常数。具体地,如果λ=0,那么这变成方程4,并且仅使缺陷尺寸的RMS最小化;而如果λ=1,那么这变成方程5,并且仅使最差缺陷尺寸最小化;如果0<λ<1,那么在优化时将这两者考虑在内。这种优化可以使用多种方法来求解。例如,类似于之前描述的一种方法,可以调节每次迭代中的权重。备选地,类似于根据不等式使最差缺陷尺寸最小化,方程6’和方程6”的不等式可以被视为解决二次编程问题期间设计变量的约束。然后,可以递增地松弛最差缺陷尺寸的界限,或递增地计算用于最差缺陷尺寸的权重,计算用于每个可实现最差缺陷尺寸的代价函数值,并且选择使总代价函数最小化的设计变量值,作为用于下一步骤的初始点。通过迭代地这样做,可以实现这一新代价函数的最小化。
优化光刻投影设备可以扩展工艺窗口。更大的工艺窗口提供工艺设计和芯片设计的更多灵活性。工艺窗口可以被定义为抗蚀剂图像处于抗蚀剂图像的设计目标的某些限制内的一组焦距和剂量值。注意,这里所讨论的所有方法还可以扩展为可以由除了曝光剂量和离焦之外的不同或另外基础参数建立的广义工艺窗口定义。这些参数可以包括但不限于光学设置(诸如,NA、西格玛、像差、偏振或抗蚀剂层的光学常数)。例如,如较早描述的,如果PW也由不同掩模偏置构成,那么优化包括使被定义为衬底EPE与所引起的掩模边缘偏置之间的比的MEEF(掩模误差增强因子)最小化。关于焦距和剂量值定义的工艺窗口在本公开中仅用作示例。下面描述根据实施例的使工艺窗口最大化的方法。
在第一步骤中,从工艺窗口中的已知条件(f00)开始,其中f0为标称焦点,并且ε0为标称剂量,在(f0±Δf,ε0±Δε)附近最小化以下代价函数之一:
如果允许标称焦点f0和标称剂量ε0偏移,则可以将它们与设计变量(z1,z2,…,zN)共同优化。在下一步骤中,如果可以找到(z1,z2,…,zN,f,ε)的一组值使得代价函数在预设限制内,则(f0±Δf,ε0±Δε)被接受为工艺窗口的一部分。
备选地,如果不允许焦点和剂量偏移,则用标称焦点f0和标称剂量ε0处固定的焦点和剂量来优化设计参数(z1,z2,…,zN)。在备选实施例中,如果可以找到(z1,z2,…,zN)的一组值使得代价函数在预设限制内,则(f0±Δf,ε0±Δε)被接受为工艺窗口的一部分。
本公开中较早描述的方法可以用于使方程27、方程27’或方程27”的各代价函数最小化。如果设计变量为投影光学器件的特性(诸如泽尔尼克系数),那么使方程27、方程27’或方程27”的代价函数最小化基于投影光学器件优化(即,LO)使得工艺窗口最大化。如果设计变量是除了投影光学器件的特性之外的源和图案形成装置的特性,那么使方程27、方程27’或方程27”的代价函数最小化如图9图示基于SMLO使得工艺窗口最大化。如果设计变量是源和图案形成装置的特性,那么使方程27、方程27’或方程27”的代价函数最小化基于SMO使得工艺窗口最大化。
上述优化可以用于找到降低可能不利于光刻工艺的许多物理效应的(z1,z2,…,zN)的一组值。一个这种效应为图案位移误差。该误差为图案离它在模拟或实际图像(例如,空间图像、抗蚀剂图像以及蚀刻图像)中的预期位置的偏移的测量。有时,图案位移误差为独立于图案的,即,误差对于图案形成装置上的全部图案是相同的。图案独立的图案位移误差例如通过偏移图案形成装置或衬底而较容易地补偿或校正。有时,图案位移误差为依赖图案的,这使得补偿或校正更困难。偏移可能由各种原因造成。示例性原因可以包括图案形成装置上的图案的不同高度(即,3-D效应)、图案形成装置由于加热或机械力而引起的非均匀变形、OPC、与来自源的衍射级的强度的非均匀和失衡结合的依赖图案的入射或出射角以及投影光学器件的变形或非远心度。非远心光学系统可以在不同距离处展示对象的变化放大。例如,在具有发射EUV的源的光刻投影设备中,因为光学投影器件包括一个或多个反射光学组件,所以投影光学器件无法为远心的。
图6示意性示出了长特征上的图案位移误差的一个示例性测量。阴影区域为图案的预期投影,具有CD 610、左边缘612以及右边缘611。预期投影的中心线615可以处于边缘611和边缘612的中间。具有CD 620的图案的实际或模拟投影可以相对于预期投影偏移。例如,边缘611和边缘612可以分别偏移到边缘621和边缘622。实际或模拟投影的中心线625可以处于边缘621和边缘622的中间。边缘611与边缘621之间的距离和边缘612和边缘622之间的距离为边缘位置误差EPE1、EPE2。
代价函数可以为EPE1、EPE2以及CD 620与CD 610之间的差ΔCD的组合的函数。根据实施例,代价函数还可以为图案位移误差的函数(即,不总是为零),图案位移误差可以为中心线625和中心线615之间的距离650或其函数。在实施例中,代价函数为图案位移误差的显函数。在实施例中,PED可以被表达为PDE=(EPE1-EPE2)/2。在实施例中,代价函数可以为多个图案的PDE的函数,并且PDE可以为依赖图案或独立于图案的。PDE可以在如由合适方法或由光刻设备的用户选择的图案的位置处(“偏移基准量”)测量。
在实施例中,代价函数可以为CF(z1,z2,…,zN)=aCFother+bCFPDE的形式,其中,CFother仅是诸如边缘位移误差、CD的量的函数,但不是PDE的函数,并且CFPDE为PDE的函数。通过调谐系数a和b的相对幅值,可以将优化调节为而聚焦于最小化PDE而高于其他量。
为了演示该调节性,下面提供示例,其中,代价函数仅为ΔCD和PDE的函数:为了通过优化降低PDE,系数b可以被设置为大于1/2的值。
图7示意性示出了短特征上的图案位移误差的一个示例性测量。阴影区域为图案的预期投影,打点矩形为图案的实际或模拟投影。PDE可以由预期投影的中心与实际或模拟投影的中心之间的距离750来测量。备选地,PDE可以通过x轴和y轴(750X和750Y)上的中心的位移中的两者或之一来测量。
根据实施例,PDE或其函数可以用作优化的约束。这种优化可以数学地表达为寻找设计变量的值。例如,优化可以在向全部偏移基准量的PDE施加上限的约束下。
根据实施例,PDE可以用于OPC中。例如,在基于规则的OPC中,规则可以涉及PDE;在基于模型的OPC中,可以使用作为一个或多个PDE的函数的代价函数,或者PDE可以约束在OPC中。例如,PDE可以从后OPC图案的模拟或实际位置到它的预期位置来测量。在OPC处理期间,设计布局中的各个图案中的边缘或边缘的部分可以被偏移为例如减小设计布局中的特定位置处的线缩短或减小线之间的桥接或设计布局中的线的断裂。然而,防止图案误差的边缘的该偏移可能引起设计布局中的各个图案的图案偏移。增加PDE作为基于规则的OPC中的附加规则或在基于模型的OPC的代价函数中增加PDE提供调谐旋钮以将设计布局中的PDE限制到可接受的值。
图8示出了具有若干偏移基准量810、820以及830的片段800。该偏移基准量可以测量不同方向上的图案偏移(例如,偏移基准量810测量竖直方向上的偏移,并且偏移基准量820测量水平方向上的偏移)。偏移基准量(例如,偏移基准量830)还可以测量图案之间的间隙的偏移。
图9示出了用不同权重图案偏移权重进行的三个优化中的三个直方图。在该示例中,代价函数为CF(z1,z2,…,zN)=CFEPE+CFPDE=ΣEPE2+bΣPDE2(方程40)。直方图910、920、930分别根据b=0、b=4和b=10的优化而编译。直方图中的峰值在b更大的情况下更靠近零,这指示PDE在b更大的情况下更小。表1和表2也示出了相同的趋势。
表1
表2
用户可以将工艺窗口定义为工艺窗口指标的空间(例如,EL和DOF),在该空间中,光刻工艺“在规范中”(满足各种准则)(例如,生产量、缺陷的可能性等)。准则可能不包括PDE。根据实施例,PDE被包括在这些准则之中。例如,用户可以选择容许±0.4nm内的PDE;即,仅在PDE位于±0.4nm内时,光刻工艺在规范中。图10示出了分别在b=0(即,准则不包括PDE)、b=4和b=10的情况下使用方程40的代价函数优化后在PDE容差±0.4nm、CD容差±10%下的三个工艺窗口1010、1020和1030。工艺窗口1020由于更大的b而被显著扩大。
图11是图示了计算机系统100的框图,该计算机系统100可以帮助实施这里所公开的优化方法以及流程。计算机系统100包括总线102或用于通信信息的其他通信机构和与总线102耦合以便处理信息的处理器104(或多个处理器104和105)。计算机系统100还包括主存储器106(诸如随机存取存储器(RAM)或其他动态存储装置),该主存储器106耦合到总线102,以便存储要由处理器104执行的信息和指令。主存储器106还可以用于在执行要由处理器104执行的指令期间存储临时变量或其他中间信息。计算机系统100还包括耦合到总线102以便存储用于处理器104的静态信息和指令的只读存储器(ROM)108或其他静态存储装置。存储装置110(诸如磁盘或光盘)被提供并耦合到总线102,以便存储信息和指令。
计算机系统100可以经由总线102耦合到用于向计算机用户显示信息的显示器112(诸如阴极射线管(CRT)或平板或触摸平板显示器)。包括字母数字键或其他键的输入装置114耦合到总线102,以便向处理器104通信信息和命令选择。另一种类型的用户输入装置为光标控制116(诸如鼠标、追踪球或用于向处理器104通信方向信息和命令选择且用于控制显示器112上的光标移动的光标方向键)。该输入装置通常在允许装置指定平面中的位置的两个轴上(第一轴(例如,x)和第二轴(例如,y))具有两个自由度。触摸面板(屏)显示器还可以用作输入装置。
根据一个实施例,优化处理的部分可以由计算机系统100响应于处理器104执行主存储器106中含有的一个或多个指令的一个或多个序列来执行。这种指令可以从另一个计算机可读介质(诸如存储装置110)读入到主存储器106中。主存储器106中所含的指令的序列的执行使得处理器104执行这里所述的步骤。多处理布置中的一个或多个处理器也可以用于执行主存储器106中所含的指令的序列。在备选实施例中,硬有线电路可以用于软件指令的适当位置或与软件指令组合使用。由此,实施例不限于硬件电路和软件的任何具体组合。
如这里所用的术语“计算机可读介质”提及参与向处理器104提供指令以便执行的任意介质。这种介质可以采取任意形式,包括但不限于非易失性介质、易失性介质以及传输介质。非易失性介质包括例如光或磁盘(诸如存储装置110)。易失性介质包括动态存储器(诸如主存储器106)。传输介质还包括同轴电缆、铜线和光纤,包括(包括总线102)的线。传输介质还可以采取声波或光波的形式(诸如射频(RF)和红外(IR)数据通信期间产生的声波或光波)。计算机可读介质的普通形式包括例如软磁盘、软盘、硬盘、磁带、任意其他磁介质、CD-ROM、DVD、任意签条光介质、穿孔卡片、纸带、具有孔图案的任意其他物理介质、RAM、PROM以及EPROM、闪EPROM、任意其他存储片段或匣、如下文中所述的载波或计算机可以读取的任意其他介质。
各种形式的计算机可读介质可以涉及向处理器104承载一个或多个指令的一个或多个序列,以便执行。例如,指令可以最初承载在远程计算机的磁盘上。远程计算机可以将指令加载到它的动态存储器并使用调制解调器在电话线上发送指令。局部到计算机系统100的调制解调器可以接收电话线上的数据并将红外发送器用于将数据转换成红外信号。耦合到总线102的红外检测器可以接收红外信号中承载的数据并将数据置于总线102上。总线102将数据承载到主存储器106,处理器104从主存储器106检索并执行指令。由主存储器106接收的质量可以可选择地在由处理器104执行之前或之后二者之一存储在存储装置110上。
计算机系统100还可以包括耦合到总线102的通信接口118。通信接口118向连接到局域网122的网络链路120提供双向数据通信耦合。例如,通信接口118可以为向对应类型的电话线提供数据通信连接的综合业务数字网(ISDN)卡或调制解调器。作为另一个示例,通信接口118可以为向可兼容LAN提供数据通信连接的局域网(LAN)卡。还可以实施无线链路。在任意这种实施方式中,通信接口118发送和接收承载代表各种类型的信息的数字数据流的电信号、电磁信号或光信号。
网络链路120通常向其他数据装置提供借助一个或多个网络进行的数据通信。例如,网络链路120可以向主计算机124或向由因特网服务提供商(ISP)126操作的数据装置提供借助局部网络122进行的连接。ISP 126转而借助世界范围内的分组数据通信网络(现在俗称为“因特网”)128提供数据通信服务。局部网络122和因特网128都使用承载数字数据流的电信号、电磁信号或光信号。向和从计算机系统100承载数字数据的、穿过各种网络的信号和网络链路120且穿过通信接口118的信号是传输信息的载波的示例形式。
计算机系统100可以借助网络、网络链路120以及通信接口118发送消息和接收数据(包括程序代码)。在因特网示例中,服务器130可以借助因特网128、ISP 126、局部网络122以及通信接口118发送用于应用程序的所请求代码。根据一个或多个实施例,一个这种下载的应用例如提供实施例的照射优化。所接收代码可以随着在存储装置110中接收和/或存储或其他非易失性存储以便后期执行而由处理器104执行。以该方式,计算机系统100可以获得载波形式的应用代码。
图12示意性描绘了照射源可以使用这里所述方法优化的另一个示例光刻投影设备1000。
光刻投影设备1000包括:
-源收集器模块SO;
-照射系统(照射器)IL,该照射系统被配置为调整辐射束B(例如,EUV辐射);
-支撑结构(例如,掩模台)MT,该支撑结构被配置为支撑图案形成装置(例如,掩模或掩模版)MA并连接到被配置为准确定位图案形成装置的第一定位器PM;
-衬底台(例如,晶片台)WT,该衬底台被配置为保持衬底(例如,涂布抗蚀剂的晶片)W并连接到被配置为准确定位衬底的第二定位器PW;以及
-投影系统(例如,折射投影透镜系统)PS,该投影系统被配置为将由图案形成装置MA给予辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个管芯)上。
如这里所描绘的,装置1000为反射式(例如,采用反射式掩模)。要注意,因为多数材料在EUV波长范围内是吸收性的,所以掩模可以具有多层反射器,多层反射器包括例如钼和硅的多栈。在一个示例中,多栈反射器具有40层对钼和硅,其中,各层的厚度为四分之一波长。甚至更小的波长可以使用X射线光刻来产生。因为多数材料在EUV和x射线波长处是吸收性的,所以图案形成装置形貌上的薄片的图案化的吸收材料(例如,多层反射器的顶部上的TaN吸收器)定义特征将打印的位置(正性抗蚀剂)或不打印的位置(负性抗蚀剂)。
参照图12,照射器IL从源收集器模块SO接收极紫外辐射束。产生EUV辐射的方法包括但不是必须限于将材料转换成具有至少一种元素(例如氙、锂或锡)的等离子体状态,一个或多个发射谱线处于EUV范围内。在一个这种方法中,通常命名为激光产生等离子体(“LPP”),等离子体可以通过用激光束照射燃料(诸如具有线发射元素的材料的液滴、流或簇)来产生。源收集器模块SO可以为EUV辐射系统的一部分,EUV辐射系统包括用于提供激发燃料的激光束的激光器(图12中未示出)。产生的等离子体发出输出辐射(例如,EUV辐射),该辐射使用布置在源收集器模块中的辐射收集器来收集。激光器和源收集器模块例如在CO2激光器用于提供用于燃料激发的激光束使可以为单独的实体。
在这种情况下,不认为激光器形成光刻设备的一部分,并且辐射束在光束传递系统的帮助下从激光器传递到源收集器模块,光束传递系统包括例如适当的引导反射镜和/或扩束器。在其他情况下,源例如在源为放电产生的等离子体EUV生成器(通常称为DPP源)时可以为源收集器模块不可分割的一部分。
照射器IL可以包括用于调节辐射束的角强度分布的调节器。通常,至少可以调节照射器的光瞳面中的强度分布的外和/或内径向范围(分别俗称为σ-outer和σ-inner)。另外,照射器IL可以包括各种其他组件(诸如琢面场和光瞳反射镜装置)。照射器可以用于调整辐射束,以在辐射束的横截面中具有期望的均匀性和强度分布。
辐射束B在保持在支撑结构(例如,掩模台)MT上的图案形成装置(例如,掩模)MA上入射,并且由图案形成装置来图案化。在从图案形成装置(例如,掩模)MA反射之后,辐射束B穿过投影系统PS,该投影系统PS将束聚焦到衬底W的目标部分C上。凭借第二定位器PW和定位传感器PS2(例如,干涉仪装置、线性编码器或电容传感器)的帮助,可以准确移动衬底台WT,例如以便定位辐射束B的路径中的不同目标部分C。类似地,第一定位器PM和另一个定位传感器PS1可以用于相对于辐射束B的路径准确定位图案形成装置(例如,掩模)MA。图案形成装置(例如,掩模)MA和衬底W可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准。
所描绘的装置1000可以以以下模式中的至少一个来使用:
1、在步进模式下,保持支撑结构(例如,掩模台)MT和衬底台WT基本静止,同时将给予辐射束的整个图案一次投影到目标部分C上(即,单次静止曝光)。然后,沿X和/或Y方向偏移衬底台WT,使得可以曝光不同的目标部分C。
2、在扫描模式下,同步扫描支撑结构(例如,掩模台)MT和衬底台WT,同时将给予辐射束的图案投影到目标部分C上(即,单次动态曝光)。衬底台WT相对于支撑结构(例如,掩模台)MT的速度和方向可以由投影系统PS的(缩小)放大和图像翻转特性来确定。
3、在另一种模式下,保持可编程图案形成装置来保持支撑结构(例如,掩模台)MT基本静止,并且移动或扫描衬底台WT,同时将给予辐射束的图案投影到目标部分C上。在该模式下,通常采用脉冲式辐射源,并且在衬底台WT的各移动之后或在扫描期间的连续辐射脉冲之间按需更新可编程图案形成装置。该操作模式可以容易地应用于使用可编程图案形成装置(诸如上面提及的类型的可编程反射镜阵列)的无掩膜光刻。
图13更详细地示出了设备1000,设备1000包括源收集器模块SO、照射系统IL以及投影系统PS。源收集器模块SO被配置且布置为使得可以在源收集器模块SO的封闭结构220中维持真空环境。EUV辐射发射等离子体210可以由放电产生的等离子体源形成。EUV辐射可以由气体或蒸汽(例如,氙(Xe)气、锂(Li)蒸汽或锡(Sn)蒸汽)产生,在气体或蒸汽中,产生非常热的等离子体210,以发出电磁光谱的EUV范围内的辐射。非常热的等离子体210由例如引起至少部分离子化等离子体的放电产生。对于有效生成辐射可以需要氙气、锂蒸汽、锡蒸汽或任意其他合适的气体或蒸汽的例如10Pa的分压。在实施例中,提供被激发的锡(Sn)的等离子体来产生EUV辐射。
由热等离子体210发出的辐射经由被定位于源室211中的开口中或后面的可选气体阻挡部或污染物阻止物230(在一些情况下还称为污染物阻挡部或箔阻止物)从源室211传到收集器室212中。污染物阻止物230可以包括通道结构。污染物阻止物230还可以包括气体阻挡部或气体阻挡部与通道结构的组合。这里进一步指示的污染物阻止物或污染物阻挡部230如现有技术中已知的至少包括通道结构。
收集器室211可以包括辐射收集器CO,该辐射收集器CO可以为所谓的掠入射收集器。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。穿越收集器CO的辐射可以反射出光栅光谱滤光片240,以沿着由点虚线“O”指示的光轴聚焦在虚拟源点IF中。虚拟源点IF通常称为中间焦点,并且源收集器模块被布置为使得中间焦点IF位于封闭结构220中的开口221处或附近。虚拟源点IF为辐射发射等离子体210的图像。
随后,辐射穿越照射系统IL,照射系统IL可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,该琢面场反射镜装置22和琢面光瞳反射镜装置24被布置为在图案形成装置MA处提供期望的辐射束21的辐射强度以及在图案形成装置MA处提供期望的辐射强度的均匀性。在由支撑结构MT保持的图案形成装置MA处反射辐射束21时,形成图案化的束26,并且所图案化的束26经由反射元件28、30由投影系统PS成像到由衬底台WT保持的衬底W上。
比所示更多的元件通常可以存在于照射光学期间单元IL和投影系统PS中。光栅光谱滤光片240可以可选地存在,这取决于光刻设备的类型。进一步地,可以存在比图中所示的反射镜更多的反射镜,例如,可以比图13中所示有1-6个另外反射元件存在于投影系统PS中。
收集器光学器件CO如图13图示被描绘为具有掠入射反射器253、254以及255的巢状收集器,仅作为收集器(或收集器反射镜)的示例。掠入射反射器253、254以及255围绕光轴O轴向对称布置,并且这种类型的收集器光学器件CO优选地与放电产生的等离子体源(通常称为DPP源)组合使用。
备选地,源收集器模块SO可以为如图14所示的LPP辐射系统的一部分。激光LA被布置为将激光能量沉积到燃料(诸如氙(Xe)、锡(Sn)或锂(Li))中,这产生具有数十eV电子温度的高度离子化等离子体210。这些离子的去激发和重组合期间生成的高能辐射从等离子体发出,由近入射收集器光学器件CO收集,并且聚焦到封闭结构220中的开口221上。
这里所公开的概念可以模拟或数学建模用于成像子波长特征的任意通用成像系统,并且可以与能够产生越来越小尺寸的波长的新兴成像技术一起特别有用。已使用的新兴技术包括EUV(极紫外)光刻,EUV光刻能够凭借使用ArF激光器产生193nm波长,甚至凭借使用氟激光器产生157nm波长。而且,EUV光刻能够通过使用同步加速器或用高能电子碰撞材料(固体或等离子体)以便产生该范围内的光子来产生20-5nm范围内的波长。
本发明还可以使用以下条款来描述:
1、一种改进光刻工艺的计算机实施的方法,光刻工艺用于使用光刻投影设备将设计布局的一部分成像到衬底上,所述方法包括:
计算作为所述光刻工艺的特性的多个设计变量的多变量代价函数,其中多变量代价函数为所述一个或多个图案偏移误差的函数;以及
通过调整所述设计变量直到满足预定义终止条件来重新配置所述光刻工艺的所述特性。
2、一种改进光刻工艺的计算机实施的方法,光刻工艺用于使用光刻投影设备将设计布局的一部分成像到衬底上,所述方法包括:
计算作为所述光刻工艺的特性的多个设计变量的多变量代价函数;以及
在对一个或多个图案偏移误差的一个或多个约束下,通过调整所述设计变量直到满足预定义终止条件来重新配置所述光刻工艺的所述特性。
3、根据条款1至条款2中任意一项所述的方法,其中所述一个或多个图案偏移误差为依赖于图案的。
4、根据条款1至条款3中任意一项所述的方法,其中所述多变量代价函数为所述一个或多个图案偏移误差的显函数。
5、根据条款1至条款4中任意一项所述的方法,其中所述一个或多个图案偏移误差包括在所述光刻设备的用户所选择的位置处测量的图案偏移误差。
6、根据条款1至条款5中任意一项所述的方法,其中所述一个或多个图案偏移误差包括两个相邻边缘处的边缘位置误差之间的差的函数。
7、根据条款1至条款5中任意一项所述的方法,其中所述一个或多个图案偏移误差包括图案的预期投影与所述图案的实际或模拟投影之间的偏移。
8、根据条款1至条款5中任意一项所述的方法,其中所述一个或多个图案偏移误差包括图案的预期投影的中心与所述图案的实际或模拟投影的中心之间的位移。
9、根据条款8所述的方法,其中所述位移沿两个垂直轴中的一个。
10、根据条款1至条款9中任意一项所述的方法,其中所述光刻投影设备包括投影光学器件,该器件包括一个或多个反射光学组件。
11、根据条款1至条款10中任意一项所述的方法,其中所述光刻工艺使用极紫外辐射将设计布局的所述部分投影到衬底上。
12、根据条款1至条款11中任意一项所述的方法,其中所述光刻投影设备包括非远心投影光学器件。
13、根据条款1至条款12中任意一项所述的方法,其中计算所述多变量代价函数包括模拟所述设计布局的所述部分的抗蚀剂图像或空间图像。
14、根据条款13所述的方法,其中模拟抗蚀剂图像或空间图像包括使用源模型、投影光学器件模型以及设计布局模型。
15、根据条款1至条款14中任意一项所述的方法,其中所述设计布局的所述部分包括从以下各项选择的一个或多个:整个设计布局、片段、设计布局已知具有关键特征的部分和/或所述设计布局已由图案选择方法识别关键特征的部分。
16、根据条款1至条款15中任意一项所述的方法,其中预定义终止条件包括从以下各项选择的一个或多个:最小化代价函数、最大化代价函数、达到预设迭代次数、达到等于或超过预设阈值的代价函数值、达到预定义计算时间、和/或达到可接受误差限制内的代价函数值。
17、根据条款1至条款16中任意一项所述的方法,其中在约束指示设计变量中的至少一些的范围的情况下执行迭代重新配置。
18、根据条款1至条款17中任意一项所述的方法,其中所述设计变量中的至少一些处于代表所述光刻投影设备的硬件实施方式中的物理限制的约束下。
19、根据条款1至条款18中任意一项所述的方法,其中所述代价函数为从以下各项选择的一个或多个的函数:边缘位置误差、临界尺寸、抗蚀剂轮廓距离、最差缺陷尺寸和/或最佳焦距偏移。
20、根据条款1至条款19中任意一项所述的方法,其中代价函数通过求解多项式来最小化,所述多项式包括设计变量的较高阶多项式。
21、根据条款1至条款20中任意一项所述的方法,其中所述多个设计变量中的至少一些为所述光刻投影设备的照射源和所述设计布局的特性。
22、根据条款1至条款20中任意一项所述的方法,其中所述代价函数为邻近效应的函数。
23、一种计算机程序产品,该计算机程序产品包括计算机可读介质,该计算机可读介质上记录有指令,所述指令在由计算机执行时,实施根据上述条款中任意一项所述的方法。
虽然这里所公开的概念可以用于在衬底(诸如硅晶片)上成像,但应理解,所公开概念可以与任意类型的光刻成像系统(即,用于在除了硅晶片之外的衬底上成像的系统)一起使用。
本发明的方面可以以任意方便的形式来实施。例如,实施例可以由一个或多个适当计算机程序来实施,该计算机程序可以承载在可以为有形载体介质(例如,盘)或无形载体介质(例如,通信信号)的适当载波介质上。本发明的实施例可以使用合适设备来实施,合适设备可以具体采取可编程计算机的形式,可编程计算机运行被布置为实施如这里所述的方法的计算机程序。
上面描述旨在是说明性的,而不是限制性的。由此,对于本领域的一个技术人员将明显的是,可以在不偏离下面陈述的权利要求的范围的情况下对如所述的实施例进行修改。

Claims (15)

1.一种改进光刻工艺的计算机实施的方法,所述光刻工艺用于使用光刻投影设备将设计布局的一部分成像到衬底上,所述方法包括:
计算多个设计变量的多变量代价函数,所述多个设计变量是所述光刻工艺的特性;以及
在对一个或多个图案偏移误差的一个或多个约束下,通过调整所述设计变量直到满足预定义终止条件来重新配置所述光刻工艺的所述特性。
2.根据权利要求1所述的方法,其中所述一个或多个图案偏移误差为依赖于图案的。
3.根据权利要求1所述的方法,其中所述多变量代价函数为所述一个或多个图案偏移误差的显函数。
4.根据权利要求1所述的方法,其中所述一个或多个图案偏移误差包括在所述光刻设备的用户所选择的位置处测量的图案偏移误差。
5.根据权利要求1所述的方法,其中所述一个或多个图案偏移误差包括两个相邻边缘处的边缘位置误差之间的差的函数。
6.根据权利要求1所述的方法,其中所述一个或多个图案偏移误差包括图案的预期投影与所述图案的实际投影或模拟投影之间的偏移。
7.根据权利要求1所述的方法,其中所述一个或多个图案偏移误差包括图案的预期投影的中心与所述图案的实际投影或模拟投影的中心之间的位移。
8.根据权利要求7所述的方法,其中所述位移沿两个垂直轴中的一个。
9.根据权利要求1所述的方法,其中计算所述多变量代价函数包括模拟所述设计布局的所述部分的抗蚀剂图像或空间图像。
10.根据权利要求1所述的方法,其中所述设计布局的所述部分包括从以下各项所选择的一个或多个:整个设计布局、片段、设计布局的已知具有关键特征的部分和/或所述设计布局的已由图案选择方法识别出关键特征的部分。
11.根据权利要求1所述的方法,其中所述设计变量中的至少一些处于代表所述光刻投影设备的硬件实施方式中的物理限制的约束下。
12.根据权利要求1所述的方法,其中所述代价函数为从以下各项所选择的一个或多个的函数:边缘位置误差、临界尺寸、抗蚀剂轮廓距离、最差缺陷尺寸和/或最佳焦距偏移。
13.根据权利要求1所述的方法,其中所述多个设计变量中的至少一些为所述光刻投影设备的照射源和所述设计布局的特性。
14.根据权利要求1所述的方法,其中所述代价函数为邻近效应的函数。
15.一种计算机程序产品,所述计算机程序产品包括计算机可读介质,所述计算机可读介质上记录有指令,所述指令在由计算机执行时,实施根据上述权利要求中任意一项所述的方法。
CN201580014364.8A 2014-03-18 2015-03-03 图案位置误差感知优化 Active CN106104384B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461955015P 2014-03-18 2014-03-18
US61/955,015 2014-03-18
PCT/EP2015/054448 WO2015139951A1 (en) 2014-03-18 2015-03-03 Pattern placement error aware optimization

Publications (2)

Publication Number Publication Date
CN106104384A true CN106104384A (zh) 2016-11-09
CN106104384B CN106104384B (zh) 2019-07-05

Family

ID=52597002

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580014364.8A Active CN106104384B (zh) 2014-03-18 2015-03-03 图案位置误差感知优化

Country Status (5)

Country Link
US (1) US10386727B2 (zh)
KR (2) KR20160131110A (zh)
CN (1) CN106104384B (zh)
TW (1) TWI567508B (zh)
WO (1) WO2015139951A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114415478A (zh) * 2017-01-26 2022-04-29 Asml荷兰有限公司 微调过程模型的方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015158444A1 (en) 2014-04-14 2015-10-22 Asml Netherlands B.V. Flows of optimization for lithographic processes
US10310386B2 (en) 2014-07-14 2019-06-04 Asml Netherlands B.V. Optimization of assist features and source
US10331039B2 (en) 2014-10-02 2019-06-25 Asml Netherlands B.V. Rule-based deployment of assist features
US10866523B2 (en) 2015-06-16 2020-12-15 Asml Netherlands B.V. Process window tracker
SG11201907074RA (en) 2017-02-28 2019-09-27 Kla Tencor Corp Determining the impacts of stochastic behavior on overlay metrology data
US10748821B2 (en) * 2017-04-26 2020-08-18 Samsung Electronics Co., Ltd. Method and system for measuring pattern placement error on a wafer
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102473979B1 (ko) 2017-09-27 2022-12-06 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
US10678142B2 (en) * 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks
US10671052B2 (en) 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
US11422473B2 (en) 2018-07-12 2022-08-23 Asml Netherlands B.V. Utilize pattern recognition to improve SEM contour measurement accuracy and stability automatically
KR20200028169A (ko) * 2018-09-06 2020-03-16 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US10818001B2 (en) * 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
CN111813117B (zh) * 2020-07-09 2023-09-01 北京布科思科技有限公司 一种机器人巡线优先导航方法、装置和设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6557163B1 (en) * 2001-11-30 2003-04-29 International Business Machines Corporation Method of photolithographic critical dimension control by using reticle measurements in a control algorithm
CN102466984A (zh) * 2010-11-10 2012-05-23 Asml荷兰有限公司 源、掩模和投影光学装置的优化
CN102540754A (zh) * 2010-11-10 2012-07-04 Asml荷兰有限公司 源、掩模和投影光学装置的优化流程
US20130179847A1 (en) * 2012-01-10 2013-07-11 Asml Netherlands B.V. Source Mask Optimization to Reduce Stochastic Effects
CN103246175A (zh) * 2012-02-09 2013-08-14 Asml荷兰有限公司 用于先进光刻术的透镜加热感知的源掩模优化
TW201403215A (zh) * 2012-05-04 2014-01-16 Asml Netherlands Bv 設計規則及微影程序共同最佳化

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP5629691B2 (ja) 2008-11-21 2014-11-26 エーエスエムエル ネザーランズ ビー.ブイ. 高速自由形式ソース・マスク同時最適化方法
US8786824B2 (en) * 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
US8739079B2 (en) * 2009-10-30 2014-05-27 Canon Kabushiki Kaisha Recording medium and determination method
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6557163B1 (en) * 2001-11-30 2003-04-29 International Business Machines Corporation Method of photolithographic critical dimension control by using reticle measurements in a control algorithm
CN102466984A (zh) * 2010-11-10 2012-05-23 Asml荷兰有限公司 源、掩模和投影光学装置的优化
CN102540754A (zh) * 2010-11-10 2012-07-04 Asml荷兰有限公司 源、掩模和投影光学装置的优化流程
US20130179847A1 (en) * 2012-01-10 2013-07-11 Asml Netherlands B.V. Source Mask Optimization to Reduce Stochastic Effects
CN103246175A (zh) * 2012-02-09 2013-08-14 Asml荷兰有限公司 用于先进光刻术的透镜加热感知的源掩模优化
TW201403215A (zh) * 2012-05-04 2014-01-16 Asml Netherlands Bv 設計規則及微影程序共同最佳化

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114415478A (zh) * 2017-01-26 2022-04-29 Asml荷兰有限公司 微调过程模型的方法

Also Published As

Publication number Publication date
KR20160131110A (ko) 2016-11-15
US20170082927A1 (en) 2017-03-23
US10386727B2 (en) 2019-08-20
KR20180114969A (ko) 2018-10-19
CN106104384B (zh) 2019-07-05
TW201539153A (zh) 2015-10-16
KR102146437B1 (ko) 2020-08-21
TWI567508B (zh) 2017-01-21
WO2015139951A1 (en) 2015-09-24

Similar Documents

Publication Publication Date Title
CN106104384B (zh) 图案位置误差感知优化
CN106164777B (zh) 光刻过程的优化流程
CN105992975B (zh) 用于计算任意图案的随机变化的模型
CN105008997B (zh) 离散源掩模优化
CN104395828B (zh) 基于梯度的图案和评价点选择
CN102466985B (zh) 包括通过投影光学装置的光操纵的独立于图案和混合型匹配/调节
CN107430347B (zh) 图像对数斜率(ils)优化
CN113454532A (zh) 训练机器学习模型以确定掩模的光学邻近效应校正的方法
CN107430351B (zh) 用于确定抗蚀剂变形的方法
CN111149063B (zh) 确定器件制造工艺的控制参数的方法
JP2013145880A (ja) 確率的影響を低減するための照明源マスクの最適化
KR102440220B1 (ko) 패터닝 공정을 위한 최적화의 흐름
CN107111237A (zh) 辅助特征的基于规则的部署
CN106462086A (zh) 蚀刻变化容差优化
CN105074575B (zh) 用于三维图案形成装置的光刻模型
CN110023839A (zh) 用于图案化配置的方法和系统
CN116648672A (zh) 用于确定掩模图案和训练机器学习模型的方法
CN109313391B (zh) 基于位移的重叠或对准
CN107667315A (zh) 使用对源辐射的角分布的多次采样的光刻术模拟
US20230023153A1 (en) Method for determining a field-of-view setting
JP7305792B2 (ja) フォトリソグラフィ結像の方法及び装置
KR102652685B1 (ko) 패턴화 장치의 광학적 특성의 성분의 서브세트의 결정
US20230333483A1 (en) Optimization of scanner throughput and imaging quality for a patterning process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant