CN105930591A - 寄存器聚类在时钟树综合中的实现方法 - Google Patents

寄存器聚类在时钟树综合中的实现方法 Download PDF

Info

Publication number
CN105930591A
CN105930591A CN201610261567.3A CN201610261567A CN105930591A CN 105930591 A CN105930591 A CN 105930591A CN 201610261567 A CN201610261567 A CN 201610261567A CN 105930591 A CN105930591 A CN 105930591A
Authority
CN
China
Prior art keywords
register
clock
depositor
cluster
buffer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610261567.3A
Other languages
English (en)
Inventor
刘昊
符仕聪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Southeast University
Original Assignee
Southeast University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Southeast University filed Critical Southeast University
Priority to CN201610261567.3A priority Critical patent/CN105930591A/zh
Publication of CN105930591A publication Critical patent/CN105930591A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明提供了一种寄存器聚类在时钟树综合上的实现方法,该寄存器聚类方法以降低时钟树互连电容为标准,通过最小生成树的构筑方式,得到时钟树寄存器的最小生成树,使得时钟树的互连线长趋于一个最小值,进而降低时钟树的功耗。在进行寄存器聚类时,通过给寄存器簇设置负载电容的阈值,使得本地寄存器簇的时钟偏移和时钟延时得到控制。通过给寄存器簇分配最合适的缓冲器,使得时钟偏移和时钟延时得到降低并为时钟树综合做好标记。整个寄存器聚类过程都未移动任何寄存器的物理位置,算法以Tcl语言实现,且仅在IC Compiler中编译运行,使得实施操作更为简易。

Description

寄存器聚类在时钟树综合中的实现方法
技术领域
本发明是一种应用于移动芯片数字后端设计中时钟树综合寄存器聚类算法的实现方法,属于数字电路后端设计领域。
背景技术
近年来,集成电路的发展突飞猛进,随着集成电路特征尺寸的不断缩小,集成电路集成度和速度不断提高,集成电路的设计也变得愈加复杂。芯片生产出来后能否正常工作取决于时序要求,而时钟是芯片的心脏,因此时钟树设计在整个芯片设计中占有极其重要的作用。
时钟树有H型、网格型和二叉树型等三种基本结构。对于超大规模集成电路来说,由于芯片内部会划分几十至上百个模块,距离时钟源较远的模块内部寄存器的延时会比较大,而距离时钟源距离较近的模块内部寄存器的延时较小。这将会造成大的时序偏移,使时钟树的性能指标变差。这种情况下,为了优化时钟偏移指标,可以考虑使用多点时钟树结构的优化设计。多点时钟树即每个子模块内部根据多个时钟输入点,形成多条子时钟树。所有时钟缓冲器的输出端都与时钟网格相连,连接点称为分接点。分接点的位置分布基于各个子模块的负载,子时钟的时钟树综合由模块内寄存器组的几何位置决定。
多点时钟树相对于普通的网格型时钟树主要区别在于,多点时钟树网格下的模块被均匀规划成多个时钟树综合区域,每个区域内分配一个时钟缓冲器,各个区域的时钟树综合将以该时钟缓冲器的输出端为起点执行。使用多点时钟树有降低时钟延时,缓解OCV(On Chip Variation)的影响,减少时钟偏移,加强时钟树抗干扰能力等作用。然而对于模块内部时序要求不高的时钟树而言,这种结构属于大材小用,同时它也会带来增加时钟树功耗,具体实施困难,性能提升不明显等问题。
传统的寄存器聚类算法针对寄存器聚类的标准各不相同,优化的结果也各有不同。其中主要的聚类方法有基于寄存器的翻转率进行聚类,通过改变寄存器的物理位置进行聚类,平均寄存器簇的负载电容,缩小时钟树最短线长等方法对寄存器进行聚类。但是以上这些聚类方法,要么改变了寄存器位置(有可能影响时序),要么没考虑时钟树功耗,要么没给出特定细节的设定方案,而这些细节往往决定着整个设计的实施。
发明目的
本发明提出了一种针对于时钟树功耗新的优化方法,解决了时钟树结构优化空间小,传统寄存器算法实施困难等问题。该发明的目的在于,在不影响网表的前提下,通过最小生成树的构筑方式,降低时钟树的互连电容并对时钟树寄存器进行低功耗聚类,进而降低时钟树的功耗。本文采用的寄存器聚类方法主要针对时钟树叶子级寄存器拓扑结构的优化。文中用到了普林姆算法作为寄存器聚类算法的先行准备和缓冲器分配算法作为其后续补充。由于从始至终,算法都没有改变寄存器的初始位置,因此,算法的实际操作性非常强,它可集成进入任意时钟树综合的流程之中。
技术方案
本发明提出的寄存器聚类方法用于芯片后端设计时钟树综合的过程中,实现寄存器聚类算法的方法步骤如下:
1a)利用普林姆算法,以时钟树互连线长趋于最小值为标准,生成时钟寄存器的最小生成树;
1b)在生成最小生成树的过程中利用寄存器与缓冲器之间的距离阈值Mmax对寄存器进行初始聚类,得到时钟寄存器的初始寄存器簇;
1c)确定单个寄存器簇的负载电容阈值,对初始寄存器簇进行低功耗聚类,得到时钟寄存器的最终寄存器簇;
1d)对最终寄存器簇进行本地缓冲器分配,给每个寄存器簇分配驱动能力合适的缓冲器。
技术效果
本发明所提出的寄存器聚类方法通过考虑时间复杂度,时钟寄存器物理位置和时钟寄存器互联电容三个方面问题,对时钟树寄存器进行低功耗聚类,使其能够适用于大规模集成电路时钟树综合的物理设计。该方法对时钟树寄存器进行聚类时,考虑到了可能带来的信号路径时序方面的影响,提出了不改变寄存器的位置并设置寄存器簇最大电容值的方案,使得信号路径时序在不受到影响的同时,时钟树的时钟偏移和时钟延时也一并减小。在降低时钟树功耗方面,该方案以降低时钟树互连电容为标准,引用普林姆算法建立寄存器的最小生成树使得时钟树的互连线长趋于最小值,同时得到寄存器的分组数和寄存器簇。本发明方法主要利用Tcl语言设计,在IC Compiler上实现,不需要引入第三方EDA工具来进行编译或仿真,因此在实现方法上非常简易。在基于Voltus的运行环境下,相比于普通时钟树综合流程,寄存器聚类算法使得时钟树功耗得到了近13%的降低,其中动态功耗的降低占80%以上。在IC Compiler的环境中,在时钟偏移和时钟延时上分别得到了18%和7.8%的降低。
附图说明
图1为本发明方法流程图;
图2为寄存器最小生成树示意图;
图3为寄存器和互连线的转换模型图;
图4为缓冲器驱动扇出寄存器示意图。
具体实施方式
下面以一具体实例对本发明做进一步详细说明。
实施例1
如图1所示,本发明寄存器聚类算法在时钟树综合中的实现方法包括如下步骤:
a)首先,依据项目中定义的最大扇出数(Max Fanout)Nfanout,预估寄存器簇内寄存器的个数NReg,确定寄存器与缓冲器之间的距离阈值Mmax,NReg在1至NFanout之间,不同的NReg对应着不同的距离阈值Mmax,计算公式见下文公式6。
b)接着,参照普林姆算法的思想,以最小互连线长作为构筑时钟寄存器最小生成树的标准,并在生成最小生成树的过程中,利用距离阈值Mmax对寄存器进行初始聚类,得到初始寄存器簇,并得到分组数即初始寄存器簇的个数。由于不同的Mmax对应着不同的初始寄存器簇,因此尝试所有可能的Mmax,最终选择寄存器互连线长度最小的那个初始寄存器簇。
c)预估时钟树总体负载电容,并利用之前得到的分组数,算出单个寄存器簇的负载电容阈值。利用该电容阈值,对初始寄存器簇进行低功耗聚类,得到最终寄存器簇,使得时钟树在得到最低负载电容的同时获得时钟偏移和时钟延时的降低。
d)最后,给每个寄存器簇分配合适的缓冲器,缓冲器的分配同样以寄存器簇的负载电容为标准,而不是以传输时间作为标准。合适的缓冲器分配使得本地缓冲器的功耗得以降低,本地传输时间也得到很好地控制。
时钟树总体负载电容预估的原理如下:时钟树所有寄存器簇的负载电容值Ctotal可由公式1表示。式中表示寄存器簇i中所有寄存器的电容,表示寄存器簇i中所有互连线的电容。
C t o t a l = Σ i = 1 n ( C i r + C i w ) - - - ( 1 )
n表示寄存器簇的数目,寄存器的电容值可以通过查找Foundry厂提供的标准单元库得到。由于在做时钟树之前,互连线的长度未知,因此只能设定长度的一个预估值。通过最短时钟树绕线算法(DME algorithm)得到的时钟树线长等于D表示时钟树的寄存器集合中两个最远的寄存器之间的曼哈顿距离,N表示所有时钟寄存器的个数。由于ICCompiler的时钟树绕线算法与最短时钟树绕线算法一致,因此可以通过公式2预估时钟树总的寄存器和互连线的电容值。
C t o t a l ′ = Σ i = 1 N c i + C w N D - - - ( 2 )
公式中,ci表示单个时钟寄存器的电容,表示预估的时钟树线长,Cw表示单位互连线的电容。Cw为Foundry厂对线电容多次模拟仿真得到的一个值,它是将线的宽度和高度等因素综合考虑在内而得出的一个相对准确的值。由于时钟树的绕线优先级在整个芯片中是最高的,时钟绕线通常是普通信号线宽度的两倍,因此时钟树的绕线受外界干扰较小,可以通过来粗略估计互连线的电容值。单个寄存器簇的负载电容阈值可通过公式3得出。其中K为分组数。
Climit=[C′total/K] (3)
实施例2
(1)时钟树分组的设计
假设芯片内部某一区域时钟寄存器的分布如图2所示,按照以下步骤得到合理的寄存器分组数:
步骤a:参照普林姆算法的思想,随机选取一个寄存器作为起点(在此选择A寄存器)。此时,将A当作初始寄存器簇C(1),该寄存器随之从寄存器集合Nreg中移除。设置寄存器与缓冲器之间的距离阈值Mmax的大小。
步骤b:在剩下的寄存器中找出距离A最近的寄存器B,若它们之间的距离小于等于Mmax,即寄存器B与当前寄存器簇中心(此时为A)的距离小于等于Mmax且当前寄存器簇的大小在最大扇出范围内,则把A和B合并,同时更新寄存器簇的中心点坐标为A和B的中点坐标。同理,在余下的寄存器中找到C和D加入寄存器簇C(1)并更新C(1)的中点坐标。
步骤c:寄存器E为距离C(1)最近的寄存器,但它们之间的距离大于Mmax的值,因此将E作为新的初始寄存器簇C(2)。同理,找出剩余寄存器中所有能够加入C(2)的寄存器F、G、H。
步骤d:依此类推,可得到四组初始寄存器簇。它们分别为“ABCD”,“EFGH”,“IJKL”和“MNOP”。由于Mmax的限制,这些寄存器簇的半径都不会超过Mmax,进而对时钟偏移做了一个初步的限制。通过这种方式,既得到了分组数K也得到了初始寄存器簇。
(2)寄存器簇的缓冲器分配的设计
公式(4)为互连线转换模型的表达式。表达式中,de是由Elmore延时模型算出的互连线延时,Sle为互连线上的转换衰退值(Slew Degradation)。
Sle=ln 9×de (4)
公式(5)为寄存器输入转换Sl(r)表达式。由公式可以看出寄存器输入转换的大小由缓冲器的上升沿输出转换值Slout(b)和互连线转换衰退值Sle共同决定。
S l ( r ) = Sl o u t ( b ) 2 + Sl e 2 - - - ( 5 )
图3为一个简单的缓冲器驱动寄存器示意图,结合公式4和公式5可知,图中寄存器的输入转换受缓冲器的输出转换和互连线转换共同影响。
然而,人工得到缓冲器的输出转换值非常困难。此外,即便手工算出的转换值,但通常情况下该值的误差非常大没有任何参考意义,不能将寄存器的输入转换值作为缓冲器分配算法中插入缓冲器的依据。因此,在此以负载电容作为插入依据。具体实施步骤如下:
步骤a:选定项目中所有驱动能力适中的缓冲器,它们按照驱动力大小升序的方式排序。
步骤b:考虑极为特殊的情况,当寄存器簇中只有一个寄存器时,不给其分配缓冲器。
步骤c:对于每一个非单个寄存器的寄存器簇,计算寄存器簇的负载电容,同时遍历缓冲器的最大驱动电容,给寄存器簇分配最合适的缓冲器。
(3)距离阈值Mmax的设计
缓冲器根据驱动能力的大小来驱动不同扇出数的负载。此外,对于相同扇出数的负载而言,驱动能力强的缓冲器所带负载的距离可以更远。在设定寄存器簇的驱动缓冲器时,应该考虑驱动能力适中的缓冲器。这样才能在性能和功耗上得到一个折衷。
以图4所示缓冲器驱动扇出寄存器示意图为例,各寄存器结构相同,该寄存器簇的负载电容可由公式6表示:
Cbuf=NReg*Cr+NReg*Mmax*Cw (6)
式中Cbuf为缓冲器的最大负载电容,缓冲器的合理最大负载电容值可以通过计算标准单元库中的查找表得到。若缓冲器所带负载电容超出Cbuf,则缓冲器内部延时将变大,可能造成时序违规。Cr表示该寄存器簇中单个寄存器的电容,NReg表示该寄存器簇中的寄存器个数,Cw表示寄存器簇中单位互连线的电容,Mmax表示该寄存器簇中寄存器与缓冲器之间的距离阈值。
在公式6中,Cbuf、Cr和Cw可以通过查询标准单元库得到。在做数字后端设计前,通常会设置缓冲器的最大扇出值NFanout,即缓冲器所能驱动的寄存器的最大个数。因此,NReg值的范围为1至NFanout之间,可以根据具体应用取经验值。在确定了以上四个参数后,距离阈值Mmax便可通过公式计算得出。

Claims (4)

1.一种寄存器聚类算法在时钟树综合中的实现方法,其特征在于,该方法包括如下步骤:
1a)利用普林姆算法,以时钟树互连线长趋于最小值为标准,生成时钟寄存器的最小生成树;
1b)在生成最小生成树的过程中利用寄存器与缓冲器之间的距离阈值对寄存器进行初始聚类,得到时钟寄存器的初始寄存器簇;
1c)确定单个寄存器簇的负载电容阈值,对初始寄存器簇进行低功耗聚类,得到时钟寄存器的最终寄存器簇;
1d)对最终寄存器簇进行本地缓冲器分配,给每个寄存器簇分配驱动能力合适的缓冲器。
2.根据权利要求1所述的寄存器聚类算法在时钟树综合中的实现方法,其特征在于单个寄存器簇负载电容阈值的确定:确定时钟树总的寄存器和互连线的电容值,将该总电容值和寄存器簇个数相除得到单个寄存器簇的负载电容阈值。
3.根据权利要求2所述的寄存器聚类算法在时钟树综合中的实现方法,其特征在于时钟树总的互连线电容值通过最短时钟树绕线算法预估得到。
4.根据权利要求1所述的寄存器聚类算法在时钟树综合中的实现方法,其特征在于缓冲器的分配方式:缓冲器的分配是以寄存器簇的负载电容作为标准。
CN201610261567.3A 2016-04-26 2016-04-26 寄存器聚类在时钟树综合中的实现方法 Pending CN105930591A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610261567.3A CN105930591A (zh) 2016-04-26 2016-04-26 寄存器聚类在时钟树综合中的实现方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610261567.3A CN105930591A (zh) 2016-04-26 2016-04-26 寄存器聚类在时钟树综合中的实现方法

Publications (1)

Publication Number Publication Date
CN105930591A true CN105930591A (zh) 2016-09-07

Family

ID=56837013

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610261567.3A Pending CN105930591A (zh) 2016-04-26 2016-04-26 寄存器聚类在时钟树综合中的实现方法

Country Status (1)

Country Link
CN (1) CN105930591A (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106527575A (zh) * 2016-10-21 2017-03-22 东南大学—无锡集成电路技术研究所 一种基于活动相似度的触发器分组时钟门控方法
CN107817870A (zh) * 2017-10-16 2018-03-20 算丰科技(北京)有限公司 时钟信号传递方法和装置、时钟树、芯片、电子设备
CN110825210A (zh) * 2019-11-12 2020-02-21 天津飞腾信息技术有限公司 片上系统的时钟树结构的设计方法、装置、设备及介质
CN112257378A (zh) * 2020-10-30 2021-01-22 东南大学 一种针对近阈值的鲁棒时钟树综合算法实现方法
CN112331243A (zh) * 2020-11-26 2021-02-05 安徽省东科半导体有限公司 同时钟域下寄存器的逻辑解耦方法
CN112380806A (zh) * 2020-12-05 2021-02-19 浙江大学 一种高效多比特寄存器聚类合并方法
CN113326672A (zh) * 2021-05-31 2021-08-31 东南大学 一种针对近阈值时钟偏差优化的时钟树综合构建方法
CN114239475A (zh) * 2021-12-17 2022-03-25 郑州信大华芯信息科技有限公司 低频数模混合模块时钟结构及扫描链设计方法
CN115859902A (zh) * 2022-12-26 2023-03-28 郑州信大华芯信息科技有限公司 一种基于D-tree虚拟时钟结构的时钟树生长方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030188282A1 (en) * 2002-03-27 2003-10-02 Via Technologies, Inc. Design flow method for integrated circuits
TW200428240A (en) * 2004-08-18 2004-12-16 Univ Chang Gung A clock tree synthesizing tool synchronously considering low clock skew and low power consumption
CN102567557A (zh) * 2010-12-20 2012-07-11 国际商业机器公司 用于构建用于集成电路设计的时钟树的方法和装置
CN103150435A (zh) * 2013-03-12 2013-06-12 清华大学 时钟节点结群方法以及时钟网络结构
CN103259526A (zh) * 2013-06-03 2013-08-21 龙芯中科技术有限公司 时钟网络的构建方法和装置
CN103793483A (zh) * 2014-01-16 2014-05-14 清华大学 基于时钟节点结群的时钟树生成方法和系统

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030188282A1 (en) * 2002-03-27 2003-10-02 Via Technologies, Inc. Design flow method for integrated circuits
TW200428240A (en) * 2004-08-18 2004-12-16 Univ Chang Gung A clock tree synthesizing tool synchronously considering low clock skew and low power consumption
CN102567557A (zh) * 2010-12-20 2012-07-11 国际商业机器公司 用于构建用于集成电路设计的时钟树的方法和装置
CN103150435A (zh) * 2013-03-12 2013-06-12 清华大学 时钟节点结群方法以及时钟网络结构
CN103259526A (zh) * 2013-06-03 2013-08-21 龙芯中科技术有限公司 时钟网络的构建方法和装置
CN103793483A (zh) * 2014-01-16 2014-05-14 清华大学 基于时钟节点结群的时钟树生成方法和系统

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
ASHISH D. MEHTA.ET AL: ""Clustering and Load Balancing for Buffered Clock Tree Synthesis"", 《PROCEEDINGS INTERNATIONAL CONFERENCE ON COMPUTER DESIGN VLSI IN COMPUTERS AND PROCESSORS》 *
CHAO DENG.ET AL.: ""Register Clustering Methodology for Low Power Clock Tree Synthesis"", 《JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY》 *
黄惠萍,等: ""基于延迟合并嵌入的带障碍的时钟树布线算法"", 《计算机辅助设计与图形学学报》 *

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106527575B (zh) * 2016-10-21 2019-07-26 东南大学苏州研究院 一种基于活动相似度的触发器分组时钟门控方法
CN106527575A (zh) * 2016-10-21 2017-03-22 东南大学—无锡集成电路技术研究所 一种基于活动相似度的触发器分组时钟门控方法
CN107817870A (zh) * 2017-10-16 2018-03-20 算丰科技(北京)有限公司 时钟信号传递方法和装置、时钟树、芯片、电子设备
CN110825210A (zh) * 2019-11-12 2020-02-21 天津飞腾信息技术有限公司 片上系统的时钟树结构的设计方法、装置、设备及介质
CN112257378A (zh) * 2020-10-30 2021-01-22 东南大学 一种针对近阈值的鲁棒时钟树综合算法实现方法
CN112257378B (zh) * 2020-10-30 2024-03-26 东南大学 一种针对近阈值的鲁棒时钟树综合算法实现方法
CN112331243A (zh) * 2020-11-26 2021-02-05 安徽省东科半导体有限公司 同时钟域下寄存器的逻辑解耦方法
CN112331243B (zh) * 2020-11-26 2021-07-23 安徽省东科半导体有限公司 同时钟域下寄存器的逻辑解耦方法
WO2022111155A1 (zh) * 2020-11-26 2022-06-02 东科半导体(安徽)股份有限公司 同时钟域下寄存器的逻辑解耦方法
CN112380806B (zh) * 2020-12-05 2022-06-17 浙江大学 一种高效多比特寄存器聚类合并方法
CN112380806A (zh) * 2020-12-05 2021-02-19 浙江大学 一种高效多比特寄存器聚类合并方法
CN113326672A (zh) * 2021-05-31 2021-08-31 东南大学 一种针对近阈值时钟偏差优化的时钟树综合构建方法
CN113326672B (zh) * 2021-05-31 2022-11-01 东南大学 一种针对近阈值时钟偏差优化的时钟树综合构建方法
CN114239475A (zh) * 2021-12-17 2022-03-25 郑州信大华芯信息科技有限公司 低频数模混合模块时钟结构及扫描链设计方法
CN115859902A (zh) * 2022-12-26 2023-03-28 郑州信大华芯信息科技有限公司 一种基于D-tree虚拟时钟结构的时钟树生长方法
CN115859902B (zh) * 2022-12-26 2023-10-27 郑州信大华芯信息科技有限公司 一种基于D-tree虚拟时钟结构的时钟树生长方法

Similar Documents

Publication Publication Date Title
CN105930591A (zh) 寄存器聚类在时钟树综合中的实现方法
CN109784489A (zh) 基于fpga的卷积神经网络ip核
US6440780B1 (en) Method of layout for LSI
CN101527010B (zh) 人工神经网络算法的硬件实现方法及其系统
CN106297774B (zh) 一种神经网络声学模型的分布式并行训练方法及系统
CN103473134B (zh) 一种异构多核处理器的依赖任务调度方法
CN103324774B (zh) 一种基于时钟规划偏差算法的处理器性能优化方法
US20220309349A1 (en) Methods and apparatus for distributed training of a neural network
CN109492753A (zh) 一种去中心化的随机梯度下降的方法
US20140344203A1 (en) Neural network computing apparatus and system, and method therefor
CN110533183A (zh) 一种流水线分布式深度学习中异构网络感知的模型划分与任务放置方法
CN108319599A (zh) 一种人机对话的方法和装置
CN104137178B (zh) 声学处理单元接口
CN106201718A (zh) 一种基于负载预测的云计算资源动态伸缩方法
CN103279622A (zh) 一种飞行器仿真系统中的分布式仿真模型调度方法
CN103984595A (zh) 一种异构cmp的静态任务调度方法
CN114676522B (zh) 融合gan和迁移学习的气动形状优化设计方法及系统及设备
CN104408019A (zh) 一种基于mic平台实现gmres算法并行加速的方法
CN113627120B (zh) 超导集成电路布局优化方法和装置、存储介质和终端
CN106776044A (zh) 硬件加速方法及系统、硬件加速器执行方法及系统
CN105005638B (zh) 一种基于线性延时模型的高层次综合调度方法
CN110222007A (zh) 一种基于申威众核处理器的加速运行方法
CN102541813B (zh) 一种多粒度并行fft蝶形计算的方法及相应的装置
CN103984794A (zh) 一种针对软模块的固定边框的集成电路布图规划方法
CN111695701A (zh) 基于联邦学习实现数据集构建处理的系统及其构建生成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20160907