CN105718024B - 提供每内核电压和频率控制 - Google Patents

提供每内核电压和频率控制 Download PDF

Info

Publication number
CN105718024B
CN105718024B CN201610029935.1A CN201610029935A CN105718024B CN 105718024 B CN105718024 B CN 105718024B CN 201610029935 A CN201610029935 A CN 201610029935A CN 105718024 B CN105718024 B CN 105718024B
Authority
CN
China
Prior art keywords
kernel
voltage
processor according
frequency
processor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610029935.1A
Other languages
English (en)
Other versions
CN105718024A (zh
Inventor
P·库马尔
H·源
C·L·霍顿
D·比尔曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN105718024A publication Critical patent/CN105718024A/zh
Application granted granted Critical
Publication of CN105718024B publication Critical patent/CN105718024B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F1/00Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or values, i.e. retroactive systems
    • G05F1/10Regulating voltage or current
    • G05F1/46Regulating voltage or current wherein the variable actually regulated by the final control device is dc
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • G06F1/3228Monitoring task completion, e.g. by use of idle timers, stop commands or wait commands
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • G06F9/3016Decoding the operand specifier, e.g. specifier format
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Abstract

在一个实施例中,本发明包括:处理器,其具有控制逻辑和多个内核,所述控制逻辑用于独立于向所述多个内核的至少第二内核提供电压/频率,而控制向所述多个内核的第一内核提供电压/频率。在一些实施例中,可以从处理器的一个或多个内部电压调节器提供电压。描述并要求保护其它实施例。

Description

提供每内核电压和频率控制
本申请是2011年9月21日提交的申请号为201180055923.1的同名专利申请的分案申请。
背景技术
在基于计算机的系统的所有部分都需要考虑功率和热管理问题。虽然在服务器领域,电成本驱动对低功率系统的需要,但在移动系统中,电池寿命和热限制使得这些问题相关。优化系统使其以最小功耗获得最大性能,这通常是利用操作系统(OS)或系统软件控制硬件元件完成的。大部分现代OS使用高级配置和电源接口(ACPI)标准(例如,2006年10月10日公布的Rev.3.0b)来优化这些领域内的系统。ACPI实施允许处理器内核处于不同的省电状态(也称为低功率或空闲状态),通常被称为所谓的C1到Cn状态。对于封装级别(package-level)的省电存在类似的封装C状态,但是不是OS可见的。
当内核是活跃的时,其在所谓的C0状态运行;当内核是空闲的时,其可以处于内核低功率状态,所谓的内核非零C状态。内核C1状态表示低功率状态,其具有最低的省电但是能立即进入和退出;而扩展深-低功率状态(例如,C3)表示静态功耗可忽略的功率状态,但是进入/退出该状态以及响应活动(即,返回到C0)的时间较长。
除了省电状态,在ACPI中也提供了性能状态或所谓的P状态。这些性能状态可以允许控制性能-功率级别,同时内核处于活跃状态(C0)。通常,多个P状态是可用的,即从P0-PN。一般而言,ACPI P状态控制算法将优化功耗而不影响性能。对应于P0的状态可以以用于内核的最大电压和频率组合操作内核,而每个P状态(例如P1-PN)以不同的电压和/或频率组合操作内核。这样,当处理器活跃时,基于处理器的使用可以发生性能和功耗的平衡。虽然在活跃模式期间可以使用不同的P状态,但是用于不同内核的独立P状态不能以多内核处理器的不同电压和频率操作,因此,由于最多所有的活跃内核能够以不同频率操作,但是它们必须都共享相同的电压,所以不能在获得优选的省电的同时达到期望的性能级别。
附图说明
图1为根据本发明的一个实施例的系统的框图。
图2为根据本发明的一个实施例的方法的流程图。
图3为根据本发明的另一实施例的方法的流程图。
图4为根据本发明的实施例的处理器的框图。
图5为根据本发明的实施例的处理器内核的框图。
图6为根据本发明的实施例的系统的框图。
具体实施方式
在各种实施例中,具有多内核结构的处理器可以例如根据ACPI规范提供每内核的功率-性能(P)状态的控制。这样,能够实现对功耗和性能的更好控制。例如,在多内核处理器中,仅能够使得少数内核在热约束环境下以较高内核频率运行,使得在执行期望的工作负载的同时能减少功耗以及因此降低温度。
因此,在各种实施例中,处理器内的多个内核的每一个都被控制以不同的电压和/或频率操作。这样,可以在多个内核上执行非对称的工作负载,以提供确定的性能。但是本发明的范围并不局限于此,在一些实施例中,可以利用完全集成电压调节器(FIVR)实施来实现独立电压/频率控制,在所述FIVR实施中处理器内的每个内核均具有其自己的电压调节器。也就是说,包括多个内核的单个半导体管芯可以进一步包括多个独立的电压调节器,每个电压调节器与给定的内核相关联。此外,可以设置一个或多个额外的电压调节器,用于与处理器内的其它部件(例如,非内核逻辑、存储器控制逻辑、功率控制单元等)一起使用。自然,在一些实施例中,单个电压调节器可以与一个或多个内核和/或处理器的其它部件相关联。在一个实施例中,可以为处理器的非内核电路提供专用电压调节器,其将允许非内核以不同的电压和频率运行。对于计算中心工作负载,非内核能够以较低的电压和频率运行,导致向套接字级别的较高内核频率应用省电。对于存储器和IO密集工作负载,非内核能够以较高电压和频率运行,同时内核能够以较低电压/频率运行,补偿非内核中的较高功率。
在一些实施例中,ACPI表可以扩展为包括关于这些单个集成电压调节器的信息以使能每内核P状态控制。例如,可以使用4位字段来传递P状态信息并将其进行映射以控制用于每个调节器的电压逻辑。因此,利用本发明的实施例,可以控制每个内核以不同频率和/或电压操作用于不对称工作负载。作为一个例子,能够控制多个内核中的一个或几个以较高的频率和/或电压操作,同时控制剩余的内核以较低电压/频率组合操作,从而保留在给定的热设计功率(TDP)范围内。这样,对于给定的工作负载能够实现确定的且优选的性能功能选择。
例如,以第一方式寻求较高的性能级别来处理数据的内核能够以较高的电压/频率操作(这种内核可以执行例如数据处理使用(如,数据复制服务、数据分析、奇偶性计算等)的任务),同时执行例如管理任务的内核能够以较低电压/频率运行以提供用于TDP约束环境的最优混合。因此,不同于在可能的(以所谓的加速模式)给定热或TDP预算时投机性地使所有内核以较高频率运行,实施例以单个内核为基础提供了确定性特性。
现在参照图1,示出了根据本发明实施例的系统的一部分的框图。如图1所示,系统100可以包括各种部件,包括处理器110,其在图中示出为多内核处理器。处理器110可以经由外部电压调节器160耦合到电源150,该外部电压调节器160可以执行第一电压转换来向处理器110提供初始调节的电压。
如图所示,处理器110可以是包括多个内核120a-120n的单模处理器。另外,每个内核可以与单个电压调节器125a-125n相关联。因此,可以提供完全集成电压调节器(FIVR)实施,从而允许对电压的细粒度控制以及对每个单个内核的功率和性能的细粒度控制。
仍参照图1,处理器内可以存在另外的部件,包括输入/输出接口132、另一接口134和集成存储器控制器136。如图所示,这些部件中的每个均可以由另一集成电压调节器125x供电。在一个实施例中,接口132可以遵照快速路径互连(QPI)协议,该协议提供包括多个层的高速缓存一致性协议中的点对点(PtP)链路,所述多个层包括物理层、链路层和协议层。接下来,接口134可以遵照高速外围设备互连(PCIeTM)规范,例如,PCI ExpressTMv2.0规范(2007年1月17日公布)。虽然为了便于图示而未示出,但是可以理解的是,在处理器110内可以存在另外的部件,例如非内核逻辑、功率控制单元,以及例如内部存储器的其它部件(如,一级或多级高速缓冲存储器分级结构等)。此外,虽然图1的实施中示出了集成电压调节器,但是实施例并不局限于此。
现在参照图2,示出了根据本发明一个实施例的方法的流程图。在一个实施例中,可以通过控制器执行方法200,所述控制器例如为处理器的集成功率控制单元(PCU)。然而,可以理解的是,本发明的范围并不局限于此,方法200可以由系统内例如管理引擎的其它控制器执行。
结合图2,方法200可以开始于在PCU中接收性能状态改变请求(方框210)。例如,在许多实现中,该请求可以接收自OS或系统软件。作为一个例子,该请求可以对应于改变用于一个或多个内核的P状态的请求。也就是说,在这种实现中,OS可以知道本发明实施例所提供的每内核P状态控制。在其它实施例中,即使当OS或系统软件不知道该特征时,如本文所讨论的也可以接收并处理性能状态改变请求。
在菱形220处,确定是否请求增加性能。也就是说,请求可以是更高性能级别的识别(例如,对应于低于当前P状态,如从P1状态进入到P0状态的请求)。还应注意的是,该确定还可以确认可以从当前状态改变P状态。如果是,则控制进行到方框230。在方框230处,可以做出关于选择一个或多个内核来独立于至少另一内核增加其电压的确定(方框230)。作为该决定的例子,基于依赖例如全部管芯电流、功率、温度和微架构活动(例如,加载/存储缓冲器、线程调度程序等)的各种因素的TDP余量,PCU可以确定增加电压和相关联的频率。例如,当确定多内核处理器的一部分为冷却器(并以较低电压/频率操作)时,该部分中的内核可被选择用于增加的电压和频率。
当确定选择一个或多个内核用于增加的电压时,控制进行到方框240,其中为所选择的内核计算新的电压和频率。这种计算可以至少一部分基于用于处理器的TDP规范、Icc净空(headroom)等。
仍参照图2,控制接着进行到方框250,其中可以将用于新电压的控制信号发送到与一个或多个内核相关联的电压调节器。作为一个例子,该控制信号可以是数字控制信号或者可以是模拟信号,从而使得电压调节器开始改变不同电压级别。因此,可以调整与内核相关联的FIVR,从而向内核输出更新后的电压。因此,控制进行到方框260,其中内核可以以所选择的电压操作。需要注意的是,因为在多个实施例中,电压调节器可以集成到处理器中,所以该调整可以伴随与片外调节器相比具有降低的延时而发生。
如果相反地在菱形220处确定请求降低性能,则控制进行到方框270。在方框270处,可以做出关于选择一个或多个内核独立于至少另一内核降低电压的确定(方框270)。这种决定可以基于如上所述的因素,并可以包括许可移动到不同P状态的确定。
当确定选择一个或多个内核用于降低的电压时,控制进行到方框275,在其中可以为所选择的内核计算新的电压和频率。控制接着进行到方框280,其中可以将用于新电压的控制信号发送到与一个或多个内核相关联的电压调节器,以使得与内核相关联的FIVR向内核输出降低的电压。因此,控制进行到方框290,其中内核可以以所选择的电压操作。虽然图2的实施例示出了该特定实现,但是可以理解的是,本发明的范围并不局限于此。例如,上述讨论假设PCU和内核是例如多内核处理器的同一半导体管芯的部分。在其它实施例中,内核可以在独立的管芯中但是在同一多芯片封装中。在又一实施例中,内核可以在分离的封装中但其电压/频率被例如使用协调电压调节器而共同控制。
一个可选实施例是处理器不包括集成调节器的一种实现。在这种处理器中,实施例仍能适应提供每内核P状态控制。为此,相反地在方框250或280处,能够例如直接向内核提供用于不同电压的控制信号,其中内核能够基于接收到的电压而提供电压调整。在又一实施例中,在方框250和280处,能够向外部电压调节器在片外提供用于改变的电压的控制信号。该控制信号可以在单个管脚或多个管脚上传输,其中多个管脚中的每一个与不同的电压级别相关联,以使得外部电压调节器提供多个电压中的一个。具体地在这种实现中,外部电压调节器可以输出多个电压信号,其能耦合到处理器并接着例如耦合到处理器的电压传输逻辑,该电压传输逻辑可以进一步从功率控制单元接收控制信号,以如由功率控制单元所确定地,能够将所选择的电压提供到相应的内核。
在另一实施例中,例如,在多个内核用于一个OS和不同数目的内核用于不同OS的多OS系统中,一个OS域内的每个内核能被静态设置为固定的(并且可能是不同的)V/F,同时在另一OS域内的内核在操作期间可以动态改变V/F。例如,一个OS域可以用于确定性操作(例如,用于系统的管理操作)并因而能得益于固定的V/F控制。相反地,根据本发明的实施例,在其中执行各种用户级别应用的OS域可以具有非确定性的工作负载,因而得益于动态独立V/F控制。
在一些实施例中,对于内核V/F的动态控制,取决于需要的负载需求,PCU能独立于OS而监视微架构活动,并确定是否能动态改变一个或多个内核的V/F以降低/增加功率。
现在参照图3,示出了根据本发明一个实施例的方法的流程图。如图3所示,方法300可以由处理器的功率控制单元执行。因而,当OS不知道由本发明的实施例所提供的每内核P状态能力时,方法300是适合的。在又一实施例中,在OS知道P状态能力的情况下,可以结合如上所述的方法200来执行方法300,以提供改善的内核P状态的动态控制。
如图3所示,方法300可以开始于监视一个或多个内核的微架构活动(方框310)。但是本发明的范围并不局限于此,这种活动可以包括确定在时间窗执行的大量指令、每时间窗的引退等。
响应于从微架构活动获得的信息,功率控制单元可以执行分析。更具体地,在方框320处,功率控制单元可以分析活动以及处理器的负载需求。例如,负载需求可以基于关于调度到内核的大量线程的信息和为其调度这些线程的处理器的类型。
然后控制进行到菱形330,其中功率控制单元可以确定动态调整用于一个或多个内核的至少一个电压/频率是否合适。例如,如果活动和负载需求指示在功率和性能之间发生合适的权衡,则功率控制单元可以选择不动态调整任何电压/频率组合。因此,方法300可以结束。
否则,如果确定调整用于给定内核的至少一对电压/频率,则控制替代地进行到方框340。这里,可以为所选择的内核计算新的电压和频率对。
仍参照图3,控制接着进行到方框350,其中可以将用于新电压的控制信号发送到与待以新电压更新的一个或多个内核相关联的电压调节器。这样,可以调整与内核相关联的FIVR,从而向内核输出更新后的电压。因此,控制进行到方框360,其中内核可以以所选择的电压进行操作。虽然在图3的实施例中示出该特定实现,但是可以理解,本发明的范围并不局限于此。
例如,在其它实施例中,不仅可以动态改变一个或多个内核的V/F,而且还可以改变非内核频率和电压,以支持要求的内核V/F需求。非内核频率对于OS是不可见的,但是有助于整个管芯省电。非内核省电可以应用于将导致增加内核性能的内核功率。类似地,可以应用内核省电以增加非内核电压/频率,来适应要求更高非内核频率的工作负载。在一些实现中,可以使用图3的方法300来执行该动态内核改变。
现在参照图4,示出了根据本发明实施例的处理器的框图。如图4所示,处理器400可以是包括多个内核410a-410n的多内核处理器。在一个实施例中,这种内核中的每一个可以被配置为以多个电压和/或频率进行操作。另外,可以独立地控制每个内核,使其如上所述以所选择的电压和/或频率进行操作。为此,每个内核可以与相应的电压调节器412a-412n相关联。可以经由互连415将各种内核耦合到包括各种部件的非内核420。如图所示,非内核420可以包括是最后一级高速缓存的共享高速缓存430。另外,非内核可以包括集成存储器控制器440、各种接口450和功率控制单元455。
在各种实施例中,功率控制单元455可以与OS功率管理代码进行通信。例如,基于从OS接收到的请求和关于内核所处理的工作负载的信息,功率控制单元455可以确定用于操作每个内核的电压和频率的适当组合,例如结合图2在以上所描述的。例如,功率控制单元455可以包括表,该表所具有的每个条目与每个内核以其正在执行的电压和频率相关联。另外,单元455可以包括具有关于TDP或其它热预算的信息的储存器。基于所有的该信息,功率控制单元455能够动态且独立地控制用于一个或多个内核的频率和/或电压,以能够进行确定性操作和提供给内核非对称的工作负载,同时保持在TDP预算以内,且进一步地不需要投机性的加速模式操作。因此,响应于这种计算,功率控制单元455可以生成多个控制信号,以使得电压调节器因而控制提供到相应内核的电压。
另外,如结合图3在以上所描述的,功率控制单元455可以独立地确定对于一个或多个内核改变电压/频率是合适的。在一些实现中,功率控制单元455所执行的分析可以至少部分地基于活动监视器逻辑所确定的预测信息,所述活动监视器逻辑可以是功率控制单元的一部分。该逻辑可以包括用于存储与操作内核相关联的信息的缓冲器。活动监视器可以从各种内核接收关于其当前活动级别的输入数据。可以以各种方式布置所述活动监视器的缓冲器。在一个实施例中,缓冲器可适用于为每个内核存储与每个功率状态改变事件相关联的时间戳的指示。因此,活动监视器拦截内核进入和离开给定活动状态的事件并对其标记时间戳。因而该受监视的数据可以包括时间戳数据以及活动状态,用于在存储间隔期间表明每个内核处于给定状态达多长时间,并且可以提供给例如功率控制单元的预测器,该预测器可以使用该信息来确定用于下一间隔的预测的内核状态,而该状态可以用于选择操作内核的独立频率和/或电压。
再参照图4,处理器400可以例如经由存储器总线与系统存储器460进行通信。另外,通过接口450,能够与各种片外部件(例如,外围设备、大容量储存器等)建立连接。虽然以图4的实施例示出了该特定实现,但是本发明的范围并不局限于此。
现在参照图5,示出了根据本发明的一个实施例的处理器内核的框图。如图5所示,处理器内核500可以是多级管线乱序处理器。如图5所示,内核500可以操作作为集成电压调节器509的结果的各种电压和频率。在各种实施例中,该调节器可以例如从外部电压调节器接收输入电压信号,并且还可以例如从耦合到内核500的非内核逻辑接收一个或多个控制信号。
如图5所示,内核500包括前端单元510,其可以用于获取待执行的指令,并使其在处理器中准备稍后使用。例如,前端单元510可以包括获取单元501、指令高速缓存503和指令解码器505。在一些实施中,前端单元510还可以包括踪迹高速缓存,以及微代码储存器和微操作储存器。获取单元501可以例如从存储器或指令高速缓存503处获取宏指令,并且将所述宏指令供应给指令解码器505以将其解码为由处理器执行的原语,即微操作。
耦合在前端单元510和执行单元520之间的是乱序(OOO)引擎515,其可以用于接收微指令并且将其准备用于执行。更具体地,OOO引擎515可以包括各种缓冲器,用于重新排序微指令流,并分配执行所需的各种资源,以及提供将逻辑寄存器重命名到各种寄存器文件(例如,寄存器文件530和扩展寄存器文件535)中的储存单元上。寄存器文件530可以包括用于整数或浮点数操作的分离的寄存器文件。扩展寄存器文件535可以提供用于向量大小(vector-sized)的单位的储存,例如每寄存器256或512比特。
在执行单元520中可以存在各种资源,例如包括:各种整数、浮点数、单指令多数据(SIMD)逻辑单元以及之外的其它专用硬件。例如,这种执行单元可以包括一个或多个算术逻辑单元(ALU)522,以及之外的其它这种执行单元。
可以将来自执行单元的结果提供至引退逻辑,即重排序缓冲器(ROB)540。更具体地,ROB 540可以包括各种阵列和逻辑,用于接收与执行的指令相关联的信息。然后该信息由ROB 540检查以确定是否能合理地引退指令并且将结果数据提交到处理器的架构状态,或者确定是否发生防止指令正确引退的一个或多个异常。自然,ROB 540可以处理与引退相关联的其它操作。
如图5所示,ROB 540耦合到高速缓存550,在一个实施例中该高速缓存550可以是低级别高速缓存(例如,L1高速缓存),但是本发明的范围并不局限于此。另外,执行单元520能够直接耦合到高速缓存550。从高速缓存550,可以发生与更高级别高速缓存、系统存储器等的数据通信。虽然以图5的实施例示出该高级别,但是可以理解的是本发明的范围并不局限于此。例如,虽然图5的实施是关于例如所谓的x86指令集结构(ISA)的乱序机器,但是本发明的范围并不局限于此。也就是说,可以以有序处理器、精简指令集计算(RISC)处理器(例如基于ARM的处理器),或者可以经由仿真引擎和相关联的逻辑电路仿真不同ISA的指令和操作的ISA的其它类型的处理器来实现其它实施例。
可以用不同的系统类型实现实施例。现在参照图6,示出了根据本发明的实施例的系统的框图。如图6所示,多处理器系统600是点对点互连系统,且包括经由点对点互连650耦合的第一处理器670和第二处理器680。如图6所示,处理器670和680的每一个可以是多内核处理器,包括第一和第二处理器内核(即,处理器内核674a和674b以及处理器内核684a和684b),但是在处理器中可以潜在地存在更多的内核。利用存在于处理器内的多个独立的电压调节器(为了简化图6的实施例的图示而未示出),每个内核可以以独立的电压/频率操作。
仍参照图6,第一处理器670还包括存储器控制中心(MCH)672和点对点(P-P)接口676和678。类似地,第二处理器680包括MCH 682和P-P接口686和688。如图6所示,MCH 672和682将处理器耦合到各自的存储器,即存储器632和存储器634,其可以是系统存储器(例如,DRAM)的本地附加到各自的处理器上的一部分。第一处理器670和第二处理器680可以分别经由P-P互连652和654耦合到芯片组690。如图6所示,芯片组690包括P-P接口694和698。
此外,芯片组690包括接口692,用于通过P-P互连639将芯片组690与高性能图形引擎638耦合。另外,芯片组690可以包括接口695,其可以是与储存器619接口的储存器控制器。接下来,芯片组690可以经由接口696耦合到第一总线616。如图6所示,各种输入/输出(I/O)设备614和总线桥618可以耦合到第一总线616,该总线桥618将第一总线616耦合到第二总线620。在一个实施例中,各种设备可以耦合到第二总线620,例如包括键盘/鼠标622、通信设备626和可以包括代码630的数据储存单元628(例如,磁盘驱动器或其它大容量储存设备)。此外,音频I/O 624可以耦合到第二总线620。实施例可以合并到包括移动设备(例如,智能蜂窝电话、平板电脑、上网本等)的其它类型的系统中。
实施例可以以代码实施,且存储在其上具有指令的存储介质上,所述指令用于对系统编程以执行指令。存储介质包括但不限于任意类型的非瞬态存储介质,例如磁盘,包括软盘、光盘、固态盘(SSD)、光盘只读存储器(CD-ROM)、可重写光盘(CD-RW)以及磁光盘;半导体设备,例如只读存储器(ROM)、诸如动态随机存取存储器(DRAM)和静态随机存取存储器(SRAM)的随机存取存储器(RAM)、可擦除可编程只读存储器(EPROM)、闪速存储器、电可擦除可编程只读存储器(EEPROM)、磁卡或光卡,或适合于存储电子指令的任意其它类型的介质。
虽然已经结合有限数量的实施例描述了本发明,但是本领域的技术人员可以从中理解多种修改和变型。打算的是,随附权利要求覆盖落入本发明的真正精神和范围内的所有这种修改和变型。

Claims (20)

1.一种处理器,包括:
多个内核,所述多个内核中的至少一个内核包括用于对指令进行解码的解码器、用于执行所解码的指令的至少一个执行单元、以及至少一个包含在内核中的高速缓存,其中,所述多个内核中的该至少一个内核包括乱序管线;
共享高速缓存;
集成存储器控制器;以及
功率控制逻辑,用于控制独立于向所述多个内核中的至少第二内核提供电压/频率,而向所述多个内核中的第一内核提供电压/频率,其中,所述第一内核和所述第二内核执行非对称的工作负载,所述功率控制逻辑至少部分地基于所述处理器的工作负载、热设计功率(TDP)预算和温度来确定是否更新所述第一内核的电压/频率,并且响应于所述确定而更新提供给所述第一内核的电压/频率,其中,所述功率控制逻辑发送控制信号给电压调节器,以使所述电压调节器向所述第一内核提供更新后的电压。
2.根据权利要求1所述的处理器,其中,所述功率控制逻辑控制所述第一内核的电压/频率,而同时保持在热预算以内。
3.根据权利要求2所述的处理器,其中,所述温度包括所述第一内核的温度。
4.根据权利要求1所述的处理器,其中,所述多个内核和所述共享高速缓存被形成在单个半导体管芯上。
5.根据权利要求4所述的处理器,其中,所述电压调节器包括形成在所述单个半导体管芯上的集成电压调节器。
6.根据权利要求4所述的处理器,还包括形成在所述单个半导体管芯上的多个集成电压调节器。
7.根据权利要求6所述的处理器,其中,所述多个集成电压调节器与所述多个内核中的至少一个相关联。
8.根据权利要求6所述的处理器,其中,所述多个集成电压调节器向所述多个内核中的至少一个提供独立的电压。
9.根据权利要求1所述的处理器,其中,所述功率控制逻辑接收来自操作系统(OS)的用来在OS运行期间对所述多个内核中的一个或多个内核的电压/频率进行动态更新的性能状态改变请求。
10.根据权利要求1所述的处理器,其中,所述功率控制逻辑控制独立于向所述多个内核中的至少第二内核提供电压/频率,而向所述第一内核提供电压/频率。
11.根据权利要求1所述的处理器,其中,所述第一内核接收控制信息和第一电压,并且响应于所述控制信息而将所述第一电压调整为第二电压。
12.根据权利要求1所述的处理器,还包括非内核电路,所述非内核电路包括所述功率控制逻辑。
13.根据权利要求12所述的处理器,其中,所述非内核电路针对第一工作负载而运行在第一电压和第一频率,针对第二工作负载而运行在第二电压和第二频率。
14.根据权利要求1所述的处理器,其中,所述功率控制逻辑包括活动监视器,其监视所述多个内核的微架构活动,所述微架构活动包括指令执行信息。
15.根据权利要求14所述的处理器,其中,所述功率控制逻辑基于来自所述活动监视器的信息来预测所述第一内核在未来时期内的使用情况。
16.根据权利要求1所述的处理器,其中,所述电压调节器包括外部电压调节器。
17.根据权利要求16所述的处理器,其中,所述处理器还包括耦合到所述外部电压调节器的多个集成电压调节器,用于向所述多个内核中的至少一个提供独立的电压。
18.根据权利要求1所述的处理器,其中,所述功率控制逻辑调整第一组内核,使其独立于第二组内核而以增加的电压/频率来执行,从而维持所述处理器的热设计功率(TDP)预算。
19.根据权利要求1所述的处理器,其中,所述至少一个执行单元包括单指令多数据逻辑单元。
20.根据权利要求1所述的处理器,其中,所述多个内核中的至少一个包括精简指令集计算处理器。
CN201610029935.1A 2010-09-23 2011-09-21 提供每内核电压和频率控制 Active CN105718024B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/889,121 2010-09-23
US12/889,121 US8943334B2 (en) 2010-09-23 2010-09-23 Providing per core voltage and frequency control
CN201180055923.1A CN103229122B (zh) 2010-09-23 2011-09-21 提供每内核电压和频率控制

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180055923.1A Division CN103229122B (zh) 2010-09-23 2011-09-21 提供每内核电压和频率控制

Publications (2)

Publication Number Publication Date
CN105718024A CN105718024A (zh) 2016-06-29
CN105718024B true CN105718024B (zh) 2019-01-01

Family

ID=45871898

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180055923.1A Active CN103229122B (zh) 2010-09-23 2011-09-21 提供每内核电压和频率控制
CN201610029935.1A Active CN105718024B (zh) 2010-09-23 2011-09-21 提供每内核电压和频率控制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201180055923.1A Active CN103229122B (zh) 2010-09-23 2011-09-21 提供每内核电压和频率控制

Country Status (8)

Country Link
US (8) US8943334B2 (zh)
JP (2) JP6058541B2 (zh)
KR (1) KR101476568B1 (zh)
CN (2) CN103229122B (zh)
DE (1) DE112011103193B4 (zh)
GB (4) GB2532167B (zh)
TW (4) TWI562064B (zh)
WO (1) WO2012040052A2 (zh)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8634302B2 (en) 2010-07-30 2014-01-21 Alcatel Lucent Apparatus for multi-cell support in a network
US9600059B2 (en) * 2010-09-20 2017-03-21 Apple Inc. Facilitating power management in a multi-core processor
US8943334B2 (en) 2010-09-23 2015-01-27 Intel Corporation Providing per core voltage and frequency control
US8737417B2 (en) 2010-11-12 2014-05-27 Alcatel Lucent Lock-less and zero copy messaging scheme for telecommunication network applications
US8730790B2 (en) 2010-11-19 2014-05-20 Alcatel Lucent Method and system for cell recovery in telecommunication networks
US8861434B2 (en) 2010-11-29 2014-10-14 Alcatel Lucent Method and system for improved multi-cell support on a single modem board
US20120159123A1 (en) * 2010-12-17 2012-06-21 Advanced Micro Devices, Inc. Cstate boost method and apparatus
US9460038B2 (en) 2010-12-22 2016-10-04 Via Technologies, Inc. Multi-core microprocessor internal bypass bus
US8972707B2 (en) 2010-12-22 2015-03-03 Via Technologies, Inc. Multi-core processor with core selectively disabled by kill instruction of system software and resettable only via external pin
US8631256B2 (en) * 2010-12-22 2014-01-14 Via Technologies, Inc. Distributed management of a shared power source to a multi-core microprocessor
US9069555B2 (en) 2011-03-21 2015-06-30 Intel Corporation Managing power consumption in a multi-core processor
US8874941B2 (en) * 2011-06-14 2014-10-28 Utah State University Apparatus and method for designing an architecturally homogeneous power-performance heterogeneous multicore processor using simulated annealing optimization
US8793515B2 (en) 2011-06-27 2014-07-29 Intel Corporation Increasing power efficiency of turbo mode operation in a processor
US9357482B2 (en) * 2011-07-13 2016-05-31 Alcatel Lucent Method and system for dynamic power control for base stations
US8769316B2 (en) 2011-09-06 2014-07-01 Intel Corporation Dynamically allocating a power budget over multiple domains of a processor
US8914650B2 (en) * 2011-09-28 2014-12-16 Intel Corporation Dynamically adjusting power of non-core processor circuitry including buffer circuitry
US9026815B2 (en) 2011-10-27 2015-05-05 Intel Corporation Controlling operating frequency of a core domain via a non-core domain of a multi-domain processor
US9158693B2 (en) 2011-10-31 2015-10-13 Intel Corporation Dynamically controlling cache size to maximize energy efficiency
US8943340B2 (en) 2011-10-31 2015-01-27 Intel Corporation Controlling a turbo mode frequency of a processor
US8886969B2 (en) * 2011-11-28 2014-11-11 Moon J. Kim Input/output device power reduction and optimization by enablement or disablement of an external circuit coupled to the input/output device
US8924758B2 (en) * 2011-12-13 2014-12-30 Advanced Micro Devices, Inc. Method for SOC performance and power optimization
US9052901B2 (en) 2011-12-14 2015-06-09 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including configurable maximum processor current
US9372524B2 (en) 2011-12-15 2016-06-21 Intel Corporation Dynamically modifying a power/performance tradeoff based on processor utilization
WO2013095351A1 (en) 2011-12-19 2013-06-27 Intel Corporation Apparatus and method for managing power in a computing system
WO2013095436A1 (en) * 2011-12-21 2013-06-27 Intel Corporation Method and apparatus for setting an i/o bandwidth-based processor frequency floor
CN106055063A (zh) * 2011-12-21 2016-10-26 英特尔公司 分立存储器部分中的电源管理
WO2013101016A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Individual core voltage margining
US9436245B2 (en) 2012-03-13 2016-09-06 Intel Corporation Dynamically computing an electrical design point (EDP) for a multicore processor
CN104169832B (zh) 2012-03-13 2017-04-19 英特尔公司 提供处理器的能源高效的超频操作
US9323316B2 (en) 2012-03-13 2016-04-26 Intel Corporation Dynamically controlling interconnect frequency in a processor
US9547027B2 (en) 2012-03-30 2017-01-17 Intel Corporation Dynamically measuring power consumption in a processor
US9846475B2 (en) * 2012-03-31 2017-12-19 Intel Corporation Controlling power consumption in multi-core environments
WO2013162589A1 (en) 2012-04-27 2013-10-31 Intel Corporation Migrating tasks between asymmetric computing elements of a multi-core processor
US9213381B2 (en) * 2012-05-24 2015-12-15 Ati Technologies Ulc Voltage regulator dynamically determining whether requested power transition can be supported
US9134777B2 (en) * 2012-06-06 2015-09-15 Qualcomm Incorporated Bi-modal power delivery scheme for an integrated circuit comprising multiple functional blocks on a single die to achieve desired average throughput for the integrated circuit
US9003209B2 (en) * 2012-06-29 2015-04-07 Intel Corporation Efficient integrated switching voltage regulator comprising switches coupled to bridge drivers to provide regulated power supply to power domains
US8984313B2 (en) * 2012-08-31 2015-03-17 Intel Corporation Configuring power management functionality in a processor including a plurality of cores by utilizing a register to store a power domain indicator
US9063727B2 (en) 2012-08-31 2015-06-23 Intel Corporation Performing cross-domain thermal control in a processor
US9110644B2 (en) 2012-09-14 2015-08-18 Intel Corporation Providing additional current capacity to a processor for a turbo mode
US9423858B2 (en) 2012-09-27 2016-08-23 Intel Corporation Sharing power between domains in a processor package using encoded power consumption information from a second domain to calculate an available power budget for a first domain
US9285853B2 (en) 2012-11-20 2016-03-15 Intel Corporation Providing power to integrated electronics within a cable
US9575543B2 (en) 2012-11-27 2017-02-21 Intel Corporation Providing an inter-arrival access timer in a processor
US9183144B2 (en) 2012-12-14 2015-11-10 Intel Corporation Power gating a portion of a cache memory
US9405351B2 (en) 2012-12-17 2016-08-02 Intel Corporation Performing frequency coordination in a multiprocessor system
US9292468B2 (en) * 2012-12-17 2016-03-22 Intel Corporation Performing frequency coordination in a multiprocessor system based on response timing optimization
US9075556B2 (en) 2012-12-21 2015-07-07 Intel Corporation Controlling configurable peak performance limits of a processor
US9235252B2 (en) 2012-12-21 2016-01-12 Intel Corporation Dynamic balancing of power across a plurality of processor domains according to power policy control bias
US9639372B2 (en) 2012-12-28 2017-05-02 Intel Corporation Apparatus and method for heterogeneous processors mapping to virtual cores
US9329900B2 (en) 2012-12-28 2016-05-03 Intel Corporation Hetergeneous processor apparatus and method
US9448829B2 (en) 2012-12-28 2016-09-20 Intel Corporation Hetergeneous processor apparatus and method
US9081577B2 (en) * 2012-12-28 2015-07-14 Intel Corporation Independent control of processor core retention states
US9672046B2 (en) * 2012-12-28 2017-06-06 Intel Corporation Apparatus and method for intelligently powering heterogeneous processor components
US9164565B2 (en) * 2012-12-28 2015-10-20 Intel Corporation Apparatus and method to manage energy usage of a processor
US9766678B2 (en) 2013-02-04 2017-09-19 Intel Corporation Multiple voltage identification (VID) power architecture, a digital synthesizable low dropout regulator, and apparatus for improving reliability of power gates
US9335803B2 (en) 2013-02-15 2016-05-10 Intel Corporation Calculating a dynamically changeable maximum operating voltage value for a processor based on a different polynomial equation using a set of coefficient values and a number of current active cores
US9367114B2 (en) 2013-03-11 2016-06-14 Intel Corporation Controlling operating voltage of a processor
US9727345B2 (en) 2013-03-15 2017-08-08 Intel Corporation Method for booting a heterogeneous system and presenting a symmetric core view
US9395784B2 (en) 2013-04-25 2016-07-19 Intel Corporation Independently controlling frequency of plurality of power domains in a processor system
US9377841B2 (en) 2013-05-08 2016-06-28 Intel Corporation Adaptively limiting a maximum operating frequency in a multicore processor
US9823719B2 (en) 2013-05-31 2017-11-21 Intel Corporation Controlling power delivery to a processor via a bypass
US9304573B2 (en) * 2013-06-21 2016-04-05 Apple Inc. Dynamic voltage and frequency management based on active processors
US9348401B2 (en) 2013-06-25 2016-05-24 Intel Corporation Mapping a performance request to an operating frequency in a processor
US9471088B2 (en) 2013-06-25 2016-10-18 Intel Corporation Restricting clock signal delivery in a processor
US9348407B2 (en) 2013-06-27 2016-05-24 Intel Corporation Method and apparatus for atomic frequency and voltage changes
US9396360B2 (en) * 2013-06-27 2016-07-19 Advanced Micro Devices, Inc. System and method for secure control over performance state
US9377836B2 (en) 2013-07-26 2016-06-28 Intel Corporation Restricting clock signal delivery based on activity in a processor
GB201314939D0 (en) * 2013-08-21 2013-10-02 Advanced Risc Mach Ltd Power signal interface
US9495001B2 (en) * 2013-08-21 2016-11-15 Intel Corporation Forcing core low power states in a processor
CN104424156A (zh) * 2013-09-09 2015-03-18 中兴通讯股份有限公司 处理器的核处理方法、装置及终端
US10386900B2 (en) 2013-09-24 2019-08-20 Intel Corporation Thread aware power management
US9594560B2 (en) 2013-09-27 2017-03-14 Intel Corporation Estimating scalability value for a specific domain of a multicore processor based on active state residency of the domain, stall duration of the domain, memory bandwidth of the domain, and a plurality of coefficients based on a workload to execute on the domain
US9405345B2 (en) 2013-09-27 2016-08-02 Intel Corporation Constraining processor operation based on power envelope information
US10146282B2 (en) * 2013-10-31 2018-12-04 Advanced Micro Devices, Inc. System and method for monitoring and controlling a performance state change
KR101842016B1 (ko) 2013-12-10 2018-03-28 한국전자통신연구원 멀티 코어 환경에서의 동적 전력 제어방법
US9494998B2 (en) 2013-12-17 2016-11-15 Intel Corporation Rescheduling workloads to enforce and maintain a duty cycle
US9459689B2 (en) 2013-12-23 2016-10-04 Intel Corporation Dyanamically adapting a voltage of a clock generation circuit
DE112013007701T5 (de) * 2013-12-23 2016-09-08 Intel Corporation Ein-Chip-System (SOC), das Hybridprozessorkerne enthält
US9588559B2 (en) 2013-12-23 2017-03-07 Intel Corporation Configurable power supplies for dynamic current sharing
US9342136B2 (en) 2013-12-28 2016-05-17 Samsung Electronics Co., Ltd. Dynamic thermal budget allocation for multi-processor systems
US20150186160A1 (en) * 2014-01-02 2015-07-02 Advanced Micro Devices, Inc. Configuring processor policies based on predicted durations of active performance states
US9851777B2 (en) 2014-01-02 2017-12-26 Advanced Micro Devices, Inc. Power gating based on cache dirtiness
US9720487B2 (en) 2014-01-10 2017-08-01 Advanced Micro Devices, Inc. Predicting power management state duration on a per-process basis and modifying cache size based on the predicted duration
KR20150092590A (ko) * 2014-02-05 2015-08-13 한국전자통신연구원 정책 적용 시간정보에 기반한 전력/에너지 관리 장치 및 그 동작 방법
US9323525B2 (en) 2014-02-26 2016-04-26 Intel Corporation Monitoring vector lane duty cycle for dynamic optimization
US9606605B2 (en) 2014-03-07 2017-03-28 Apple Inc. Dynamic voltage margin recovery
US9665153B2 (en) 2014-03-21 2017-05-30 Intel Corporation Selecting a low power state based on cache flush latency determination
US10108454B2 (en) 2014-03-21 2018-10-23 Intel Corporation Managing dynamic capacitance using code scheduling
US10409350B2 (en) * 2014-04-04 2019-09-10 Empire Technology Development Llc Instruction optimization using voltage-based functional performance variation
US9582012B2 (en) 2014-04-08 2017-02-28 Qualcomm Incorporated Energy efficiency aware thermal management in a multi-processor system on a chip
US9760158B2 (en) * 2014-06-06 2017-09-12 Intel Corporation Forcing a processor into a low power state
US10417149B2 (en) 2014-06-06 2019-09-17 Intel Corporation Self-aligning a processor duty cycle with interrupts
US9513689B2 (en) 2014-06-30 2016-12-06 Intel Corporation Controlling processor performance scaling based on context
US9606602B2 (en) 2014-06-30 2017-03-28 Intel Corporation Method and apparatus to prevent voltage droop in a computer
US9645598B2 (en) * 2014-07-14 2017-05-09 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Controlling distributed power stages responsive to the activity level of functions in an integrated circuit
US9625983B2 (en) * 2014-07-21 2017-04-18 Oracle International Corporation Power throttle mechanism with temperature sensing and activity feedback
US9575537B2 (en) 2014-07-25 2017-02-21 Intel Corporation Adaptive algorithm for thermal throttling of multi-core processors with non-homogeneous performance states
US9791904B2 (en) * 2014-08-15 2017-10-17 Intel Corporation Balanced control of processor temperature
US9760136B2 (en) 2014-08-15 2017-09-12 Intel Corporation Controlling temperature of a system memory
US9671853B2 (en) 2014-09-12 2017-06-06 Intel Corporation Processor operating by selecting smaller of requested frequency and an energy performance gain (EPG) frequency
EP3042263B1 (en) * 2014-09-17 2019-07-24 MediaTek Inc. Dynamic frequency scaling in multi-processor systems
US10339023B2 (en) 2014-09-25 2019-07-02 Intel Corporation Cache-aware adaptive thread scheduling and migration
US9977477B2 (en) 2014-09-26 2018-05-22 Intel Corporation Adapting operating parameters of an input/output (IO) interface circuit of a processor
US10248180B2 (en) * 2014-10-16 2019-04-02 Futurewei Technologies, Inc. Fast SMP/ASMP mode-switching hardware apparatus for a low-cost low-power high performance multiple processor system
US10928882B2 (en) * 2014-10-16 2021-02-23 Futurewei Technologies, Inc. Low cost, low power high performance SMP/ASMP multiple-processor system
US9952650B2 (en) 2014-10-16 2018-04-24 Futurewei Technologies, Inc. Hardware apparatus and method for multiple processors dynamic asymmetric and symmetric mode switching
US9684360B2 (en) 2014-10-30 2017-06-20 Intel Corporation Dynamically controlling power management of an on-die memory of a processor
US9703358B2 (en) 2014-11-24 2017-07-11 Intel Corporation Controlling turbo mode frequency operation in a processor
US9710043B2 (en) 2014-11-26 2017-07-18 Intel Corporation Controlling a guaranteed frequency of a processor
US20160147280A1 (en) 2014-11-26 2016-05-26 Tessil Thomas Controlling average power limits of a processor
US10048744B2 (en) 2014-11-26 2018-08-14 Intel Corporation Apparatus and method for thermal management in a multi-chip package
WO2016106070A1 (en) * 2014-12-23 2016-06-30 Intel Corporation Adjustment of voltage regulator based on power state
US9882383B2 (en) * 2014-12-23 2018-01-30 Intel Corporation Smart power delivery network
US10877530B2 (en) 2014-12-23 2020-12-29 Intel Corporation Apparatus and method to provide a thermal parameter report for a multi-chip package
US9753525B2 (en) * 2014-12-23 2017-09-05 Intel Corporation Systems and methods for core droop mitigation based on license state
US20160224098A1 (en) 2015-01-30 2016-08-04 Alexander Gendler Communicating via a mailbox interface of a processor
US9639134B2 (en) 2015-02-05 2017-05-02 Intel Corporation Method and apparatus to provide telemetry data to a power controller of a processor
US10234930B2 (en) 2015-02-13 2019-03-19 Intel Corporation Performing power management in a multicore processor
US9910481B2 (en) 2015-02-13 2018-03-06 Intel Corporation Performing power management in a multicore processor
US9874922B2 (en) 2015-02-17 2018-01-23 Intel Corporation Performing dynamic power control of platform devices
US9817470B2 (en) 2015-02-25 2017-11-14 Qualcomm Incorporated Processor power management responsive to a sequence of an instruction stream
US9842082B2 (en) 2015-02-27 2017-12-12 Intel Corporation Dynamically updating logical identifiers of cores of a processor
US9766673B2 (en) 2015-02-27 2017-09-19 Intel Corporation Supercapacitor-based power supply protection for multi-node systems
US9710054B2 (en) 2015-02-28 2017-07-18 Intel Corporation Programmable power management agent
US10031574B2 (en) * 2015-05-20 2018-07-24 Mediatek Inc. Apparatus and method for controlling multi-core processor of computing system
US9760160B2 (en) 2015-05-27 2017-09-12 Intel Corporation Controlling performance states of processing engines of a processor
US9710041B2 (en) 2015-07-29 2017-07-18 Intel Corporation Masking a power state of a core of a processor
US9568982B1 (en) 2015-07-31 2017-02-14 International Business Machines Corporation Management of core power state transition in a microprocessor
US10001822B2 (en) 2015-09-22 2018-06-19 Intel Corporation Integrating a power arbiter in a processor
US9891700B2 (en) * 2015-10-02 2018-02-13 Infineon Technologies Austria Ag Power management for datacenter power architectures
US10156882B2 (en) * 2015-10-09 2018-12-18 International Business Machines Corporation Multi-core dynamic frequency control system
US9983644B2 (en) 2015-11-10 2018-05-29 Intel Corporation Dynamically updating at least one power management operational parameter pertaining to a turbo mode of a processor for increased performance
KR102599653B1 (ko) * 2015-11-20 2023-11-08 삼성전자주식회사 냉각 알고리즘을 수행하는 집적 회로와 이를 포함하는 모바일 장치
US9910470B2 (en) 2015-12-16 2018-03-06 Intel Corporation Controlling telemetry data communication in a processor
US9904563B2 (en) * 2015-12-18 2018-02-27 Htc Corporation Processor management
US10146286B2 (en) 2016-01-14 2018-12-04 Intel Corporation Dynamically updating a power management policy of a processor
US20170212575A1 (en) * 2016-01-21 2017-07-27 Mediatek Inc. Power budget allocation method and apparatus for generating power management output according to system setting of multi-core processor system and target power budget
US10013392B2 (en) 2016-01-26 2018-07-03 Intel Corporation Providing access from outside a multicore processor SoC to individually configure voltages
US10579125B2 (en) 2016-02-27 2020-03-03 Intel Corporation Processors, methods, and systems to adjust maximum clock frequencies based on instruction type
US10613611B2 (en) * 2016-06-15 2020-04-07 Intel Corporation Current control for a multicore processor
US10359833B2 (en) * 2016-06-20 2019-07-23 Qualcomm Incorporated Active-core-based performance boost
US10289188B2 (en) 2016-06-21 2019-05-14 Intel Corporation Processor having concurrent core and fabric exit from a low power state
US10324519B2 (en) 2016-06-23 2019-06-18 Intel Corporation Controlling forced idle state operation in a processor
US10281975B2 (en) 2016-06-23 2019-05-07 Intel Corporation Processor having accelerated user responsiveness in constrained environment
US10379596B2 (en) 2016-08-03 2019-08-13 Intel Corporation Providing an interface for demotion control information in a processor
US10423206B2 (en) 2016-08-31 2019-09-24 Intel Corporation Processor to pre-empt voltage ramps for exit latency reductions
US10379904B2 (en) 2016-08-31 2019-08-13 Intel Corporation Controlling a performance state of a processor using a combination of package and thread hint information
US10234920B2 (en) 2016-08-31 2019-03-19 Intel Corporation Controlling current consumption of a processor based at least in part on platform capacitance
US10168758B2 (en) 2016-09-29 2019-01-01 Intel Corporation Techniques to enable communication between a processor and voltage regulator
US11144085B2 (en) * 2017-06-23 2021-10-12 Intel Corporation Dynamic maximum frequency limit for processing core groups
US10429919B2 (en) 2017-06-28 2019-10-01 Intel Corporation System, apparatus and method for loose lock-step redundancy power management
JP6677891B2 (ja) * 2017-07-24 2020-04-08 富士通クライアントコンピューティング株式会社 情報処理装置及び電圧制御方法
EP3673344A4 (en) 2017-08-23 2021-04-21 INTEL Corporation SYSTEM, DEVICE AND METHOD FOR ADAPTIVE OPERATING VOLTAGE IN A FIELD-PROGRAMMABLE GATE ARRAY (FPGA)
US20190073243A1 (en) * 2017-09-07 2019-03-07 Alibaba Group Holding Limited User-space spinlock efficiency using c-state and turbo boost
WO2019055066A1 (en) * 2017-09-12 2019-03-21 Ambiq Micro, Inc. VERY LOW POWER MICROCONTROLLER SYSTEM
US20190101969A1 (en) * 2017-09-29 2019-04-04 Intel Corporation Control Blocks for Processor Power Management
US10620266B2 (en) 2017-11-29 2020-04-14 Intel Corporation System, apparatus and method for in-field self testing in a diagnostic sleep state
US10620682B2 (en) 2017-12-21 2020-04-14 Intel Corporation System, apparatus and method for processor-external override of hardware performance state control of a processor
US20190234094A1 (en) * 2018-01-27 2019-08-01 Daniel M. Nead Erecting frame and protective skin shelter system
KR102640922B1 (ko) 2018-03-05 2024-02-27 삼성전자주식회사 동작 상태에 따라 기능 모듈들을 저전력 상태로 제어하는 집적 회로, 전자 장치 및 그 제어 방법
US10620969B2 (en) 2018-03-27 2020-04-14 Intel Corporation System, apparatus and method for providing hardware feedback information in a processor
US10739844B2 (en) 2018-05-02 2020-08-11 Intel Corporation System, apparatus and method for optimized throttling of a processor
US10955899B2 (en) 2018-06-20 2021-03-23 Intel Corporation System, apparatus and method for responsive autonomous hardware performance state control of a processor
US10976801B2 (en) 2018-09-20 2021-04-13 Intel Corporation System, apparatus and method for power budget distribution for a plurality of virtual machines to execute on a processor
US10860083B2 (en) 2018-09-26 2020-12-08 Intel Corporation System, apparatus and method for collective power control of multiple intellectual property agents and a shared power rail
US11269396B2 (en) 2018-09-28 2022-03-08 Intel Corporation Per-core operating voltage and/or operating frequency determination based on effective core utilization
US11320883B2 (en) 2018-09-28 2022-05-03 Intel Corporation Multi-die stacks with power management
US11348909B2 (en) 2018-09-28 2022-05-31 Intel Corporation Multi-die packages with efficient memory storage
US11940859B2 (en) 2018-11-16 2024-03-26 Hewlett Packard Enterprise Development Lp Adjusting power consumption limits for processors of a server
US11656676B2 (en) 2018-12-12 2023-05-23 Intel Corporation System, apparatus and method for dynamic thermal distribution of a system on chip
WO2020122939A1 (en) * 2018-12-14 2020-06-18 Hewlett-Packard Development Company, L.P. Regulating power core consumption
CN109254852B (zh) * 2018-12-18 2019-03-29 展讯通信(上海)有限公司 数据处理装置及方法
US11112846B2 (en) 2018-12-19 2021-09-07 International Business Machines Corporation Predictive on-chip voltage simulation to detect near-future under voltage conditions
US11586267B2 (en) 2018-12-19 2023-02-21 International Business Machines Corporation Fine resolution on-chip voltage simulation to prevent under voltage conditions
US11256657B2 (en) 2019-03-26 2022-02-22 Intel Corporation System, apparatus and method for adaptive interconnect routing
US11567556B2 (en) * 2019-03-28 2023-01-31 Intel Corporation Platform slicing of central processing unit (CPU) resources
US11409560B2 (en) 2019-03-28 2022-08-09 Intel Corporation System, apparatus and method for power license control of a processor
US11442529B2 (en) 2019-05-15 2022-09-13 Intel Corporation System, apparatus and method for dynamically controlling current consumption of processing circuits of a processor
US11360827B2 (en) * 2019-06-04 2022-06-14 Hewlett Packard Enterprise Development Lp Regulating core and un-core processor frequencies of computing node clusters
US11157329B2 (en) * 2019-07-26 2021-10-26 Intel Corporation Technology for managing per-core performance states
US11175709B2 (en) * 2019-08-26 2021-11-16 Intel Corporation Per chiplet thermal control in a disaggregated multi-chiplet system
US11698812B2 (en) 2019-08-29 2023-07-11 Intel Corporation System, apparatus and method for providing hardware state feedback to an operating system in a heterogeneous processor
US11530550B2 (en) 2019-10-03 2022-12-20 Daniel M. Nead Erecting frame and protective skin shelter system
US11366506B2 (en) 2019-11-22 2022-06-21 Intel Corporation System, apparatus and method for globally aware reactive local power control in a processor
US11435806B2 (en) * 2019-12-16 2022-09-06 Advanced Micro Devices, Inc. Automatic voltage reconfiguration
US11132201B2 (en) 2019-12-23 2021-09-28 Intel Corporation System, apparatus and method for dynamic pipeline stage control of data path dominant circuitry of an integrated circuit
US11880454B2 (en) * 2020-05-14 2024-01-23 Qualcomm Incorporated On-die voltage-frequency security monitor
JP7452259B2 (ja) * 2020-06-02 2024-03-19 富士通株式会社 半導体装置
US11347289B2 (en) * 2020-09-23 2022-05-31 Advanced Micro Devices, Inc. Enabling performance features for voltage limited processors
US11537154B2 (en) 2020-12-09 2022-12-27 Samsung Electronics Co., Ltd. Mobile devices and methods controlling power in mobile devices
CN115730550A (zh) * 2021-08-30 2023-03-03 华为技术有限公司 芯片供电系统及方法、电子设备、计算机可读存储介质
KR20230112362A (ko) 2022-01-20 2023-07-27 에스케이하이닉스 주식회사 데이터 처리 시스템 및 그 동작 방법과, 이를 위한 스토리지 장치
US11921564B2 (en) 2022-02-28 2024-03-05 Intel Corporation Saving and restoring configuration and status information with reduced latency
US20240094796A1 (en) * 2022-06-10 2024-03-21 Nvidia Corporation Techniques to modify processor performance

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101071329A (zh) * 2006-05-11 2007-11-14 乐金电子(昆山)电脑有限公司 多核处理器的电源控制装置及其方法

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5163153A (en) 1989-06-12 1992-11-10 Grid Systems Corporation Low-power, standby mode computer
US5522087A (en) 1994-03-22 1996-05-28 Verifone Inc. System for selectively operating in different modes depending upon receiving signal from a host computer within a time window upon power up
US5590341A (en) 1994-09-30 1996-12-31 Intel Corporation Method and apparatus for reducing power consumption in a computer system using ready delay
US5621250A (en) 1995-07-31 1997-04-15 Ford Motor Company Wake-up interface and method for awakening an automotive electronics module
US5931950A (en) 1997-06-17 1999-08-03 Pc-Tel, Inc. Wake-up-on-ring power conservation for host signal processing communication system
US6823516B1 (en) 1999-08-10 2004-11-23 Intel Corporation System and method for dynamically adjusting to CPU performance changes
US7539885B2 (en) 2000-01-13 2009-05-26 Broadcom Corporation Method and apparatus for adaptive CPU power management
US7010708B2 (en) 2002-05-15 2006-03-07 Broadcom Corporation Method and apparatus for adaptive CPU power management
JP2001318742A (ja) 2000-05-08 2001-11-16 Mitsubishi Electric Corp コンピュータシステムおよびコンピュータ読み取り可能な記録媒体
KR100361340B1 (ko) 2000-05-15 2002-12-05 엘지전자 주식회사 씨피유 클럭 제어 방법
US6792392B1 (en) 2000-06-30 2004-09-14 Intel Corporation Method and apparatus for configuring and collecting performance counter data
US6748546B1 (en) 2000-09-26 2004-06-08 Sun Microsystems, Inc. Method and apparatus for reducing power consumption
US6829713B2 (en) 2000-12-30 2004-12-07 Intel Corporation CPU power management based on utilization with lowest performance mode at the mid-utilization range
US7058824B2 (en) 2001-06-15 2006-06-06 Microsoft Corporation Method and system for using idle threads to adaptively throttle a computer
US20030061383A1 (en) 2001-09-25 2003-03-27 Zilka Anthony M. Predicting processor inactivity for a controlled transition of power states
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US20030122429A1 (en) * 2001-12-28 2003-07-03 Zhang Kevin X. Method and apparatus for providing multiple supply voltages for a processor
US6996728B2 (en) 2002-04-26 2006-02-07 Hewlett-Packard Development Company, L.P. Managing power consumption based on utilization statistics
US7100056B2 (en) * 2002-08-12 2006-08-29 Hewlett-Packard Development Company, L.P. System and method for managing processor voltage in a multi-processor computer system for optimized performance
US7051227B2 (en) 2002-09-30 2006-05-23 Intel Corporation Method and apparatus for reducing clock frequency during low workload periods
US7290156B2 (en) * 2003-12-17 2007-10-30 Via Technologies, Inc. Frequency-voltage mechanism for microprocessor power management
US6898689B2 (en) 2002-11-15 2005-05-24 Silicon Labs Cp, Inc. Paging scheme for a microcontroller for extending available register space
US7043649B2 (en) 2002-11-20 2006-05-09 Portalplayer, Inc. System clock power management for chips with multiple processing modules
US6971033B2 (en) 2003-01-10 2005-11-29 Broadcom Corporation Method and apparatus for improving bus master performance
CN1759368A (zh) 2003-01-23 2006-04-12 罗切斯特大学 多时钟域微处理器
JP4061492B2 (ja) 2003-02-10 2008-03-19 ソニー株式会社 情報処理装置および消費電力制御方法
US7093147B2 (en) 2003-04-25 2006-08-15 Hewlett-Packard Development Company, L.P. Dynamically selecting processor cores for overall power efficiency
US20050046400A1 (en) * 2003-05-21 2005-03-03 Efraim Rotem Controlling operation of a voltage supply according to the activity of a multi-core integrated circuit component or of multiple IC components
US7272732B2 (en) 2003-06-30 2007-09-18 Hewlett-Packard Development Company, L.P. Controlling power consumption of at least one computer system
TW200502847A (en) 2003-07-08 2005-01-16 Benq Corp Control device and method for reducing number of interrupts in a processor
US7146514B2 (en) 2003-07-23 2006-12-05 Intel Corporation Determining target operating frequencies for a multiprocessor system
US7272730B1 (en) 2003-07-31 2007-09-18 Hewlett-Packard Development Company, L.P. Application-driven method and apparatus for limiting power consumption in a processor-controlled hardware platform
US7194643B2 (en) 2003-09-29 2007-03-20 Intel Corporation Apparatus and method for an energy efficient clustered micro-architecture
US7903116B1 (en) 2003-10-27 2011-03-08 Nvidia Corporation Method, apparatus, and system for adaptive performance level management of a graphics system
US7770034B2 (en) 2003-12-16 2010-08-03 Intel Corporation Performance monitoring based dynamic voltage and frequency scaling
EP1555595A3 (en) * 2004-01-13 2011-11-23 LG Electronics, Inc. Apparatus for controlling power of processor having a plurality of cores and control method of the same
US7242172B2 (en) * 2004-03-08 2007-07-10 Intel Corporation Microprocessor die with integrated voltage regulation control circuit
US7062933B2 (en) * 2004-03-24 2006-06-20 Intel Corporation Separate thermal and electrical throttling limits in processors
JP4444710B2 (ja) 2004-03-26 2010-03-31 キヤノン株式会社 画像処理装置、その制御方法、プログラムおよび記憶媒体
US20070094444A1 (en) 2004-06-10 2007-04-26 Sehat Sutardja System with high power and low power processors and thread transfer
US7966511B2 (en) * 2004-07-27 2011-06-21 Intel Corporation Power management coordination in multi-core processors
US7451333B2 (en) 2004-09-03 2008-11-11 Intel Corporation Coordinating idle state transitions in multi-core processors
US20070156992A1 (en) 2005-12-30 2007-07-05 Intel Corporation Method and system for optimizing latency of dynamic memory sizing
US9001801B2 (en) 2004-09-07 2015-04-07 Broadcom Corporation Method and system for low power mode management for complex Bluetooth devices
US7941585B2 (en) 2004-09-10 2011-05-10 Cavium Networks, Inc. Local scratchpad and data caching system
US7437581B2 (en) * 2004-09-28 2008-10-14 Intel Corporation Method and apparatus for varying energy per instruction according to the amount of available parallelism
US7426648B2 (en) 2004-09-30 2008-09-16 Intel Corporation Global and pseudo power state management for multiple processing elements
US7249331B2 (en) 2004-10-07 2007-07-24 International Business Machines Corporation Architectural level throughput based power modeling methodology and apparatus for pervasively clock-gated processor cores
US7434073B2 (en) 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US7502948B2 (en) 2004-12-30 2009-03-10 Intel Corporation Method, system, and apparatus for selecting a maximum operation point based on number of active cores and performance level of each of the active cores
US8041967B2 (en) 2005-02-15 2011-10-18 Hewlett-Packard Development Company, L.P. System and method for controlling power to resources based on historical utilization data
GB2424494A (en) 2005-03-22 2006-09-27 Hewlett Packard Development Co Methods, devices and data structures for trusted data
JP4082706B2 (ja) * 2005-04-12 2008-04-30 学校法人早稲田大学 マルチプロセッサシステム及びマルチグレイン並列化コンパイラ
KR101108397B1 (ko) * 2005-06-10 2012-01-30 엘지전자 주식회사 멀티-코어 프로세서의 전원 제어 장치 및 방법
US7454632B2 (en) 2005-06-16 2008-11-18 Intel Corporation Reducing computing system power through idle synchronization
US7430673B2 (en) 2005-06-30 2008-09-30 Intel Corporation Power management system for computing platform
US7490254B2 (en) * 2005-08-02 2009-02-10 Advanced Micro Devices, Inc. Increasing workload performance of one or more cores on multiple core processors
US20070033426A1 (en) 2005-08-08 2007-02-08 Bruce Wilson System and method for direct-attached storage and network-attached storage functionality for laptops and PCs
US8301868B2 (en) 2005-09-23 2012-10-30 Intel Corporation System to profile and optimize user software in a managed run-time environment
US7568115B2 (en) * 2005-09-28 2009-07-28 Intel Corporation Power delivery and power management of many-core processors
US20070079294A1 (en) 2005-09-30 2007-04-05 Robert Knight Profiling using a user-level control mechanism
EP1934674A1 (en) * 2005-10-12 2008-06-25 Freescale Semiconductor, Inc. System and method for controlling voltage and frequency in a multiple voltage environment
JP4880691B2 (ja) 2005-10-14 2012-02-22 テレフオンアクチーボラゲット エル エム エリクソン(パブル) マルチアクセス通信ネットワークにおける方法及び装置
US20070106827A1 (en) 2005-11-08 2007-05-10 Boatright Bryan D Centralized interrupt controller
US7263457B2 (en) * 2006-01-03 2007-08-28 Advanced Micro Devices, Inc. System and method for operating components of an integrated circuit at independent frequencies and/or voltages
US7555664B2 (en) 2006-01-31 2009-06-30 Cypress Semiconductor Corp. Independent control of core system blocks for power optimization
TWI317468B (en) 2006-02-20 2009-11-21 Ite Tech Inc Method for controlling power consumption and multi-processor system using the same
US20070245163A1 (en) 2006-03-03 2007-10-18 Yung-Hsiang Lu Power management in computer operating systems
US7437270B2 (en) 2006-03-30 2008-10-14 Intel Corporation Performance state management
US7752468B2 (en) 2006-06-06 2010-07-06 Intel Corporation Predict computing platform memory power utilization
US8044697B2 (en) * 2006-06-29 2011-10-25 Intel Corporation Per die temperature programming for thermally efficient integrated circuit (IC) operation
US7685445B2 (en) * 2006-06-29 2010-03-23 Intel Corporation Per die voltage programming for energy efficient integrated circuit (IC) operation
US7420378B2 (en) * 2006-07-11 2008-09-02 International Business Machines Corporation Power grid structure to optimize performance of a multiple core processor
US7529956B2 (en) 2006-07-17 2009-05-05 Microsoft Corporation Granular reduction in power consumption
TWI344793B (en) * 2006-07-24 2011-07-01 Ind Tech Res Inst Power aware method and apparatus of video decoder on a multi-core platform
US7930564B2 (en) 2006-07-31 2011-04-19 Intel Corporation System and method for controlling processor low power states
JP4231516B2 (ja) * 2006-08-04 2009-03-04 株式会社日立製作所 実行コードの生成方法及びプログラム
US7721119B2 (en) * 2006-08-24 2010-05-18 International Business Machines Corporation System and method to optimize multi-core microprocessor performance using voltage offsets
US7949887B2 (en) * 2006-11-01 2011-05-24 Intel Corporation Independent power control of processing cores
US7818596B2 (en) 2006-12-14 2010-10-19 Intel Corporation Method and apparatus of power management of processor
US8117478B2 (en) 2006-12-29 2012-02-14 Intel Corporation Optimizing power usage by processor cores based on architectural events
US7730340B2 (en) 2007-02-16 2010-06-01 Intel Corporation Method and apparatus for dynamic voltage and frequency scaling
WO2008117133A1 (en) 2007-03-26 2008-10-02 Freescale Semiconductor, Inc. Anticipation of power on of a mobile device
US20080241294A1 (en) 2007-03-28 2008-10-02 Robert Brasier Tile grouting machine
US7900069B2 (en) 2007-03-29 2011-03-01 Intel Corporation Dynamic power reduction
JP2008257578A (ja) 2007-04-06 2008-10-23 Toshiba Corp 情報処理装置、スケジューラおよび情報処理置のスケジュール制御方法
US8161314B2 (en) * 2007-04-12 2012-04-17 International Business Machines Corporation Method and system for analog frequency clocking in processor cores
US7971074B2 (en) 2007-06-28 2011-06-28 Intel Corporation Method, system, and apparatus for a core activity detector to facilitate dynamic power management in a distributed system
US8032772B2 (en) * 2007-11-15 2011-10-04 Intel Corporation Method, apparatus, and system for optimizing frequency and performance in a multi-die microprocessor
US8578193B2 (en) 2007-11-28 2013-11-05 International Business Machines Corporation Apparatus, method and program product for adaptive real-time power and perfomance optimization of multi-core processors
US8024590B2 (en) * 2007-12-10 2011-09-20 Intel Corporation Predicting future power level states for processor cores
US20090150696A1 (en) 2007-12-10 2009-06-11 Justin Song Transitioning a processor package to a low power state
US7966506B2 (en) 2007-12-12 2011-06-21 Intel Corporation Saving power in a computer system
US8442697B2 (en) 2007-12-18 2013-05-14 Packet Digital Method and apparatus for on-demand power management
KR101459140B1 (ko) 2007-12-26 2014-11-07 엘지전자 주식회사 전원관리 제어 장치 및 방법
JP5344190B2 (ja) * 2008-03-04 2013-11-20 日本電気株式会社 半導体デバイス
US8156362B2 (en) 2008-03-11 2012-04-10 Globalfoundries Inc. Hardware monitoring and decision making for transitioning in and out of low-power state
BRPI0802886A2 (pt) 2008-06-12 2010-03-02 Cassiano Pinzon bicicleta estacionÁria rotulada
US20100058086A1 (en) * 2008-08-28 2010-03-04 Industry Academic Cooperation Foundation, Hallym University Energy-efficient multi-core processor
US9032223B2 (en) * 2008-09-05 2015-05-12 Intel Corporation Techniques to manage operational parameters for a processor
US20100073068A1 (en) * 2008-09-22 2010-03-25 Hanwoo Cho Functional block level thermal control
US8707060B2 (en) * 2008-10-31 2014-04-22 Intel Corporation Deterministic management of dynamic thermal response of processors
US8402290B2 (en) 2008-10-31 2013-03-19 Intel Corporation Power management for multiple processor cores
US8954977B2 (en) 2008-12-09 2015-02-10 Intel Corporation Software-based thread remapping for power savings
US8327163B2 (en) * 2009-02-27 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for programmable power-up sequence
US20110106282A1 (en) * 2009-07-23 2011-05-05 Corevalus Systems, Llc Audio Processing Utilizing a Dedicated CPU Core and a Real Time OS
US8443209B2 (en) * 2009-07-24 2013-05-14 Advanced Micro Devices, Inc. Throttling computational units according to performance sensitivity
US8321705B2 (en) * 2009-10-13 2012-11-27 Advanced Micro Devices, Inc. Dynamic table look-up based voltage regulator control
US8700943B2 (en) 2009-12-22 2014-04-15 Intel Corporation Controlling time stamp counter (TSC) offsets for mulitple cores and threads
US9171165B2 (en) * 2009-12-23 2015-10-27 Intel Corporation Methods, systems, and apparatuses to facilitate configuration of a hardware device in a platform
US8495395B2 (en) * 2010-09-14 2013-07-23 Advanced Micro Devices Mechanism for controlling power consumption in a processing node
US8726055B2 (en) * 2010-09-20 2014-05-13 Apple Inc. Multi-core power management
US8943334B2 (en) 2010-09-23 2015-01-27 Intel Corporation Providing per core voltage and frequency control
US8949637B2 (en) 2011-03-24 2015-02-03 Intel Corporation Obtaining power profile information with low overhead
JP5360107B2 (ja) 2011-03-25 2013-12-04 ブラザー工業株式会社 情報処理プログラム、情報処理装置、および情報処理方法
US8769316B2 (en) 2011-09-06 2014-07-01 Intel Corporation Dynamically allocating a power budget over multiple domains of a processor
US8954770B2 (en) 2011-09-28 2015-02-10 Intel Corporation Controlling temperature of multiple domains of a multi-domain processor using a cross domain margin
US9074947B2 (en) 2011-09-28 2015-07-07 Intel Corporation Estimating temperature of a processor core in a low power state without thermal sensor information
US8832478B2 (en) 2011-10-27 2014-09-09 Intel Corporation Enabling a non-core domain to control memory bandwidth in a processor
US9026815B2 (en) 2011-10-27 2015-05-05 Intel Corporation Controlling operating frequency of a core domain via a non-core domain of a multi-domain processor
US9158693B2 (en) 2011-10-31 2015-10-13 Intel Corporation Dynamically controlling cache size to maximize energy efficiency
US8943340B2 (en) 2011-10-31 2015-01-27 Intel Corporation Controlling a turbo mode frequency of a processor
US9436245B2 (en) 2012-03-13 2016-09-06 Intel Corporation Dynamically computing an electrical design point (EDP) for a multicore processor
CN104169832B (zh) 2012-03-13 2017-04-19 英特尔公司 提供处理器的能源高效的超频操作
US9323316B2 (en) 2012-03-13 2016-04-26 Intel Corporation Dynamically controlling interconnect frequency in a processor
US8984313B2 (en) 2012-08-31 2015-03-17 Intel Corporation Configuring power management functionality in a processor including a plurality of cores by utilizing a register to store a power domain indicator

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101071329A (zh) * 2006-05-11 2007-11-14 乐金电子(昆山)电脑有限公司 多核处理器的电源控制装置及其方法

Also Published As

Publication number Publication date
TWI537821B (zh) 2016-06-11
JP2013539121A (ja) 2013-10-17
CN103229122B (zh) 2016-11-16
GB201306874D0 (en) 2013-05-29
WO2012040052A2 (en) 2012-03-29
TW201218072A (en) 2012-05-01
TW201612741A (en) 2016-04-01
TWI562063B (en) 2016-12-11
US20160103474A1 (en) 2016-04-14
GB2498148B (en) 2017-02-22
GB2532166B (en) 2017-02-22
US9348387B2 (en) 2016-05-24
TWI562064B (en) 2016-12-11
US10613620B2 (en) 2020-04-07
GB201602733D0 (en) 2016-03-30
CN103229122A (zh) 2013-07-31
JP2017021831A (ja) 2017-01-26
US20130185570A1 (en) 2013-07-18
KR101476568B1 (ko) 2014-12-24
JP6058541B2 (ja) 2017-01-11
DE112011103193T5 (de) 2013-07-04
KR20130061747A (ko) 2013-06-11
US20160098078A1 (en) 2016-04-07
GB2532167B (en) 2017-02-22
TW201612742A (en) 2016-04-01
US9983660B2 (en) 2018-05-29
US20120079290A1 (en) 2012-03-29
US20160098079A1 (en) 2016-04-07
WO2012040052A3 (en) 2012-05-31
GB2532166A (en) 2016-05-11
GB201601963D0 (en) 2016-03-16
GB2498148A (en) 2013-07-03
US9939884B2 (en) 2018-04-10
GB201602734D0 (en) 2016-03-30
US9983661B2 (en) 2018-05-29
TW201612740A (en) 2016-04-01
US9032226B2 (en) 2015-05-12
CN105718024A (zh) 2016-06-29
US20150143139A1 (en) 2015-05-21
US8943334B2 (en) 2015-01-27
US20180314319A1 (en) 2018-11-01
GB2532157B (en) 2017-02-22
GB2532157A (en) 2016-05-11
DE112011103193B4 (de) 2015-10-22
US20160313785A1 (en) 2016-10-27
JP6227737B2 (ja) 2017-11-08
GB2532167A (en) 2016-05-11
TWI574204B (zh) 2017-03-11
US9983659B2 (en) 2018-05-29

Similar Documents

Publication Publication Date Title
CN105718024B (zh) 提供每内核电压和频率控制
US11237614B2 (en) Multicore processor with a control register storing an indicator that two or more cores are to operate at independent performance states
US20070124616A1 (en) Apparatus for an energy efficient clustered micro-architecture

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant