CN105632863A - 等离子刻蚀装置 - Google Patents

等离子刻蚀装置 Download PDF

Info

Publication number
CN105632863A
CN105632863A CN201510825494.1A CN201510825494A CN105632863A CN 105632863 A CN105632863 A CN 105632863A CN 201510825494 A CN201510825494 A CN 201510825494A CN 105632863 A CN105632863 A CN 105632863A
Authority
CN
China
Prior art keywords
process chamber
plasma etching
etching device
lid
metal material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510825494.1A
Other languages
English (en)
Other versions
CN105632863B (zh
Inventor
斯蒂芬·R·伯吉斯
安东尼·P·威尔比
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SPTS Technologies Ltd
Original Assignee
SPTS Technologies Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SPTS Technologies Ltd filed Critical SPTS Technologies Ltd
Publication of CN105632863A publication Critical patent/CN105632863A/zh
Application granted granted Critical
Publication of CN105632863B publication Critical patent/CN105632863B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Drying Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

根据本发明提供了一种用于刻蚀衬底的等离子刻蚀装置,包括:至少一个处理室;衬底支承件,其位于所述至少一个处理室内;以及等离子体产生设备,其用于产生在刻蚀所述衬底中使用的等离子体,其中,所述等离子体产生设备包括导电线圈,所述导电线圈位于所述至少一个处理室内,并且所述导电线圈由能够被溅镀到所述至少一个处理室的内表面上的金属材料制成。

Description

等离子刻蚀装置
技术领域
本发明涉及一种等离子刻蚀装置以及一种清洗等离子刻蚀装置的方法。
背景技术
等离子刻蚀被广泛用于对诸如半导体晶圆之类的多种衬底进行处理。等离子刻蚀工艺可以作为工艺序列的一部分。例如,在半导体行业中,在后续的金属沉积步骤之前通过溅镀刻蚀工艺将材料从晶圆表面除去是众所周知的。溅镀刻蚀工艺通常使用氩等离子体来完成。其目的是确保高质量的金属/金属界面以形成低接触电阻。溅镀刻蚀步骤通常在预清洗模块中进行。图1示出了现有技术中该类型的预清洗模块10的一个示例。预清洗模块10包括环绕有电感线圈14的真空处理室12。在处理室12内在台板16上支承工件(未示出)。工件通过晶圆装载槽18被引入处理室12。台板16处于降低位置(图1中实线所示)以接收通过晶圆装载槽18引入的工件。随后,在溅镀刻蚀工艺开始之前,台板16被升高到图1中虚线所示的位置。正如现有技术中已知的,电感线圈14通过阻抗匹配网络(未示出)被连接到RF发电机(未示出)上。预清洗模块还包括RF发电机20,RF发电机20通过相关联的阻抗匹配电路(未示出)被连接到台板16上。
RF发电机20被用于将台板16偏置。处理室12的壁由诸如石英或陶瓷之类的电绝缘材料制成,从而使得耦合到处理室12上的RF功率的衰减最小。在操作中,气体(通常为氩气)以相对较低的压强(通常为1至10mTorr)通过质量流量控制器被引入处理室12。经由线圈14耦合的RF功率产生等离子体。施加到台板16上的偏置则被用于使来自等离子体的离子朝向晶圆加速,其中,离子轰击对工件的表面进行刻蚀。
工件可以包含大量排气的物质。这种物质的例子为有机钝化层、粘合剂、光刻胶和旋涂材料。此外,大量排气的新型衬底材料被越来越多地使用。在先进的晶圆封装行业,这些材料能够包括尤其存在问题的聚酰亚胺(PI)和聚苯并恶唑(PBO)。当这些材料排气时,污染物被释放到将处理室中的压强升高的处理工具中。这导致在对连续工件进行处理的期间恢复处理室内可接受的真空水平方面存在重大问题。
此外,还存在与工件上的聚合材料的延长或者频繁刻蚀相关的问题。这就是指在处理室的盖子和壁周围积累碳质材料。碳质材料能够累积到使得微粒材料变得松散的程度。随后,存在松散的微粒材料会落到工件上造成污染的风险。此外,这些微粒材料释放出的气态副产物增大了处理室的基准压强。这导致真空水平很差,并且还导致与工艺控制相关的问题。
另一问题在于,对于任何导电层的刻蚀会造成在处理室的壁上累积导电材料。这导致出现导电涂层,该导电涂层会使得通过线圈耦合到处理室上的RF功率衰减。由于导电涂层的厚度随时间的推移而增加,所以衰减会增大到对刻蚀工艺造成影响的程度。例如,可能出现诸如刻蚀率漂移、刻蚀均匀性之类的问题以及与点燃或维持等离子体有关的问题。
这些问题的常规解决方案是频繁执行维持程序。这最终导致处理室与大气通风,以至于得执行机械清洗。该介入具有很高的成本以及很长的工具停机时间。这并不是生产环境中所期望的。反而期望降低这些维护介入的频率,从而在延长工具正常运行时间的同时降低成本。
发明内容
本发明在至少一些实施例中克服了一个或更多个上述问题。尽管本发明特别适用于溅镀刻蚀工艺,例如在预清洗模块中进行的溅镀刻蚀工艺,但是本发明并不仅限于此方面。相反,本发明能够被应用在各种不同的等离子刻蚀工艺中。
根据本发明的第一方面,提供了一种用于刻蚀衬底的等离子刻蚀装置,该等离子刻蚀装置包括:
至少一个处理室;
衬底支承件,其位于所述至少一个处理室内;以及
等离子体产生设备,其用于产生在刻蚀所述衬底中使用的等离子体,
其中,所述等离子体产生设备包括导电线圈,所述导电线圈位于所述至少一个处理室内,并且所述导电线圈由能够被溅镀到所述至少一个处理室的内表面上的金属材料制成。
以此方式可以实现一个或更多个清洗功能。这能够降低维护操作所要求的频率。这转而能够降低运行成本并且增加装置的正常工作时间。
金属材料可以是金属或合金。
金属材料可以被溅镀,以将微粒材料粘附到至少一个处理室的内表面上。这能够减小微粒材料从内表面落到衬底上并因此污染衬底的可能性。同样,微粒材料可能释放有害的气态副产物,该气态副产物增大了处理室的基准压强并且影响工艺控制。该问题至少能过通过将微粒材料粘附到处理室的内表面上来减小。金属材料可以是铝、铝合金或铜。
该材料可以是吸气材料。吸气材料可以是将存在于至少一个处理室中的一种或更多种气体除去的材料。吸气材料可以与存在于至少一个处理室中的一种或更多种气体反应或吸收存在于至少一个处理室中的一种或更多种气体。吸气材料可以是钛、钽或钨。以此方式,处理室的基准压强和泵送速率能够得到改善。
该装置还可以包括用于在溅镀金属材料期间在衬底支承件上放置覆盖件并且在所述溅镀之后移除覆盖件的设备。用于放置覆盖件的设备可以包括所述覆盖件,该覆盖件与容纳在至少一个处理室中的活动元件相连。用于放置覆盖件的设备可以是活动遮板。
替代性地,用于放置覆盖件的设备包括假片(dummysubstrate),该假片被衬底转移系统运送以与衬底支承件接触或者分离。为此,使用了晶圆装载槽以及相关的晶圆运送系统。
至少一个处理室包括可以盖子,该盖子与至少一个处理室的其余部分电隔离。盖子可以由金属材料制成,该金属材料能够从盖子被溅镀到至少一个处理室的内表面上。金属材料可以是金属或合金。金属材料可以与导电元件的金属材料相同或不同。
盖子的金属材料可以被溅镀,以将微粒材料粘附到至少一个处理室的内表面上。盖子的金属材料可以是铝、铝合金或铜。盖子的金属材料可以是吸气材料。盖子的金属材料可以是钛、钽或钨。
该装置包括盖子电源,盖子电源被用于为盖子提供电力以使得能够对来自盖子的金属材料进行溅镀。盖子电源可以为盖子提供负DC电力。
该装置还可以包括切换设备,该切换设备被用于将盖子在与盖子电源连接的状态以及至少一个其他电状态之间进行切换。其他电状态可以是接地状态或电浮动状态。
至少一个处理室可以包括由导电材料制成的壁。至少一个处理室还可以包括一个或更多个壁衬。壁衬也可以由导电材料制成。可以使用此类型的处理室,这是因为线圈位于处理室内。耦合到处理室中的功率并未由于壁上累积的任何导电材料而衰减。同样,处理室的壁的相对较高的热导率使得温度波动减小。这能够减小材料从壁上剥落的可能性。导电材料可以是铝。
该装置还可以包括控制器,控制器可操作以将等离子刻蚀装置在第一操作模式和第二操作模式之间进行切换,在第一操作模式中对衬底进行刻蚀,在第二操作模式中将金属材料溅镀到至少一个处理室的内表面上。第二操作模式可以被用于实现清洗功能。
可以对来自导电元件的金属材料进行溅镀以实现清洗功能。
可以对来自盖子的金属材料进行溅镀以实现清洗功能。
切换设备可以被配置为使得盖子在第一操作模式期间处于至少一个其他电状态下并且在第二操作模式期间与盖子电源电连接。
等离子体产生设备可以是电感耦合的等离子体产生设备。线圈可以是电感线圈。
该装置还可以包括RF电源,RF电源被用于向衬底支承件施加RF偏置功率。
通常,等离子刻蚀装置包括单个处理室。然而,具有多个处理室的装置在本发明的范围内。
等离子刻蚀装置可以是溅镀刻蚀装置。等离子刻蚀装置可以是氩溅镀刻蚀装置。
等离子刻蚀装置可以是多处理工具中使用的预清洗模块。
等离子体产生设备可以包括发电机,较优地包括RF发电机,该发电机为线圈供给电力。等离子体可以通过提供给线圈的电力的电感耦合来产生。
根据本发明的第二方面,提供了一种用于对等离子刻蚀装置进行清洗的方法,所述等离子刻蚀装置的类型为用于刻蚀衬底并且具有至少一个处理室,所述方法包括将来自位于所述至少一个处理室内的导电线圈的金属材料溅镀到所述至少一个处理室的内表面上以实现清洗功能的步骤。
溅镀金属材料以实现清洗功能的步骤在刻蚀衬底的步骤之后进行。在刻蚀步骤之后且在溅镀步骤之前,在衬底支承件上放置覆盖件。在溅镀步骤之后且在后续刻蚀步骤之前,可以移除覆盖件。
金属材料可以被溅镀,以将微粒材料粘附到至少一个处理室的内表面上。
金属材料可以是除去存在于至少一个处理室中的气体的吸气材料。吸气材料可以与存在于至少一个处理室中的气体反应或吸收存在于至少一个处理室中的气体。
等离子刻蚀装置包括可以盖子,该盖子与至少一个处理室的其余部分电隔离。该方法还可以包括将来自盖子的金属材料溅镀到至少一个处理室的内表面上以实现清洗功能。清洗功能可以如上文中所述。
溅镀步骤可以通过使用等离子体来执行。在溅镀步骤中使用的等离子体与用于刻蚀衬底的等离子体可以是相同的或不同的。
溅镀步骤可以使用氩等离子体来执行。
衬底可以包括半导体材料。衬底可以是半导体晶圆。
根据本发明的第三方面,提供了一种用于处理工件的多处理工具,包括多个处理模块,其中,所述多个处理模块之一为预清洗模块,所述预清洗模块包括根据本发明的第一方面所述的等离子刻蚀装置。
尽管以上对本发明进行了描述,但是本发明涵盖了以上给出的在以下说明书、附图和权利要求书中给出的特征的任何创造性结合。例如,关于本发明的第一方面所述的任何特征可以被认为同样被本发明的第二方面公开,反之亦然。
附图说明
以下将参照附图对根据本发明的等离子刻蚀装置的实施例进行说明,在附图中:
图1为现有技术中的预清洗模块的剖视图;
图2为等离子刻蚀装置的第一实施例的剖视图;
图3为等离子刻蚀装置的第二实施例的剖视图;以及
图4为示出了本发明的工艺的流程图。
具体实施方式
图2示出了本发明的等离子刻蚀装置的第一实施例,一般地由20来描述。装置20包括处理室22,处理室22具有位于室22的顶部的合适的进气口24。处理室22还包括晶圆装载槽26和泵送端口28,泵送端口28可以被连接到合适的泵送设备上,例如,通向涡轮分子泵的真空管线。处理室22内部容纳台板30,台板30上装载有诸如晶圆之类的工件。如图2中实线所示的台板30处于接收工件的降低位置。随后,在刻蚀工艺开始之前,台板30则被升高到图2中虚线所示的位置。设置了RF电源32,RF电源32为台板30提供RF偏置功率。方便起见,尽管原则上可以使用其他频率,但是所使用的RF信号频率为13.56MHz。处理室22还包括导电线圈34,根据本发明,导电线圈34位于处理室22内。导电线圈34被连接到RF发电机(未示出)上。正如现有技术中已知的,到RF发电机的连接可以通过阻抗匹配网络(未示出)来实现。一种或多种合适的工艺气体通过进气口24被提供给处理室22,并且提供给内置的导电线圈34的功率被用于在处理室22内产生等离子体36。有利地,处理室22和/或处理室内的任何可移除的屏蔽件由诸如铝之类的导电材料制成。在图2中所示的实施例中,处理室22被电接地。
等离子体36被用于对工件进行等离子刻蚀。还被用在溅镀步骤中的等离子体36与被用于对工件进行等离子刻蚀的等离子体可以是相同的或者不同的。
线圈34由可以被溅镀的金属材料制成。通过对一种或多种工艺气体以及提供给线圈34和台板30的RF功率进行适当控制,能够实现对诸如离子密度、离子能量和离子方向性之类的参数的控制。以此方式,来自线圈34的金属材料能够被溅镀到处理室22的壁上。被溅镀的金属材料能够实现一种或更多种清洗功能。在一个实施例中,线圈采用诸如作为吸气材料的钛之类的活性金属来制造。钛是对有机层进行刻蚀所释放的CO和其他气态副产物的非常有效的吸气剂。钛能够从线圈34被溅镀到处理室22的壁上。因为钛的活性很强,处理室中在处理室的壁上与钛碰撞的残余气体的组分易于与钛反应形成稳定的固态产物。这降低了处理室中的气体压强。因此,与差的真空性能以及长的泵送到基准(pump-to-base)恢复时间相关的问题能够被显著减小。线圈可以由其他诸如钽或钨之类的吸气材料制成。在另一实施例中,线圈由诸如铝之类的具有低应力以及对处理室的壁的良好粘附性的材料形成。材料从线圈被溅镀到处理室的壁上。处理室的壁上存在的任何松散的微粒材料被从线圈溅镀的材料适当有效覆盖。这导致可能污染工件的松散的微粒材料减少。除了铝之外,还可以使用铝合金或铜。铝或某些铝合金的一个额外的优点在于这些材料还能够消耗氧气。
将线圈34放置在处理室22内得到了进一步的优点。因为线圈的此位置,不需要采用绝缘材料来制作处理室。反而,处理室能够由导电材料制作。处理室内存在的任何屏蔽件也能够由导电材料制作。通常,处理室的导电壁被电接地。因而,当由RF发电机32施加的RF功率将台板30偏置时,处理室的壁作为台板30的正极。因为处理室的壁是导电的,沉积在处理室的壁上的任何导电材料对处理室内的等离子体都没有不利影响。此外,与现有技术中由绝缘材料制成的处理室相比,壁的热导率得到极大提高。这减小了在处理过程中出现的温度波动的范围,转而减小了由于热膨胀系数不匹配造成的再次沉积的材料从处理室的壁上剥落的可能。进一步的优点在于有可能通过使用诸如喷砂处理或火焰喷涂这样的技术对处理室的金属组件进行表面抛光。这能够通过提供再次沉积的材料能够粘附的粗糙表面来降低微粒水平。
图3示出了等离子刻蚀装置的第二实施例,一般地由40来描述。装置40包括与图2中所示的第一实施例中的对应特征相同的多个特征。这样的相同特征使用相同的附图标记来表示。主要的差别在于,处理室42被设置为两个部件。更特别地,处理室42包括主体部分42a和盖子42b。在使用中,盖子42b通过连接件44被连接到主体部分42a的顶部,连接件44由绝缘材料制成。连接件44确保盖子42b与主体部分42a电绝缘。处理室的主体部分42a被电接地。盖子42b的电状态能够通过使用电连接46来设定。盖子42b的电状态可以与主体部分42a的电状态相同或不同。换言之,盖子42b可以被电接地或者不被电接地。
这在盖子42b由诸如以上参照线圈34所述的能够被溅镀的材料制成的时候特别有利。以此方式,可以将来自盖子42b的材料溅镀到主体部分42a的壁上以提供清洗功能。当以此方式操作装置时,对于电连接46而言,允许将来自合适的电源(未示出)的电偏置施加到盖子42b上是相当有利的。负DC电源适用于此目的。当负DC电源将盖子42b偏置时,来自等离子体的离子将轰击作为负极的盖子42b。这导致材料从盖子42b中喷出并且覆盖处理室42的内部组件。氩等离子体是合适的选择,这使得氩离子轰击盖子42b。然而,本发明并不仅限于此。通过对盖子42b的材料进行溅镀实现的清洗功能能够与之前关于线圈34的描述相同。因此,溅镀的材料对处理室的壁的覆盖能够被用于合适有效地保留微粒材料。这能够显著延长维护程序之间的间隔周期。另一种可能是在盖子42b中使用吸气材料。如上所述,以此方式能够将气态副产物从处理室内的气氛中除去。
图2和图3中所示的装置20和40的首要目的是作为预清洗模块。这通过执行溅镀刻蚀工艺以将材料从工件的表面除去来实现。氩等离子体36可以被用于该溅镀刻蚀工艺。注意到在溅镀刻蚀工艺期间,使得线圈34和/或盖子42b的溅镀最小以避免污染被溅镀刻蚀的部分。在期望时,溅镀刻蚀工艺被停止,并且通过将来自线圈34和/或盖子42b的材料溅镀到处理室20、40的壁上来清洗处理室自身。参照图3中所示的第二实施例,期望仅在进行盖子42b的溅镀期间将负DC功率提供给盖子42b。在其他时间,期望盖子42b处于另一电状态。尤其是,期望在对工件进行溅镀刻蚀期间将盖子42b接地。可选或额外地,期望使盖子42b电浮动。因而,如果电连接46被配置使得盖子42b能够切换到期望的电状态则是有利的。
参照图2和图3中所示的两个实施例,在线圈34和/或盖子42b的溅镀期间,通常有必要为台板提供某些形式的保护。在图2和图3中所示的实施例中,这很容易通过在线圈34和/或盖子42b的溅镀开始之前使用晶圆装载槽26和相关的晶圆处理系统将假片放置在台板30上来实现。替代性地,可以设置专用台板保护系统。例如,台板30可以被保护元件覆盖,该保护元件可以被储存在处理室内,并且在溅镀工艺开始之前被移动到台板30之上的位置处。遮板系统可以被用于在溅镀工艺期间保护台板。
图4为示出了实现本发明的一种方式的流程图。在图4中所示的工艺中,在对25个晶圆进行刻蚀之后,进行溅镀工艺以实现处理室的清洗功能。然而,能够根据所包含的材料以及可以接受的微粒水平来适当设定溅镀之间的间隔。图4中所示的示例性工艺包括用于清洗处理室的溅镀步骤,在处理室中,RF功率被提供给台板30。还可以通过仅将RF功率提供给线圈或者将RF功率提供给线圈和台板两者来提供溅镀。
尽管已经参照用于通过溅镀刻蚀来除去材料的装置在图2和图3中对本发明进行了例示,但是本发明还涵盖其他形式的刻蚀。同样,本发明还能够用于对各种衬底进行刻蚀。一个特定优点在于,在维持低微粒水平和高真空水平的同时可以对问题衬底进行刻蚀。对于具有技术背景的读者来说,可以进行大量进一步的变型,这些变型都将处于本发明的范围内。例如,线圈和盖子可以由相同的可溅镀材料制成。然而,线圈和盖子还可以由不同的材料制成。以此方式,能够在单个溅镀工艺中实现与不同的材料相关的有利效果。例如,可以通过使用涂层材料和吸气材料来优化涂覆和吸气效果。因此,线圈可以由钛制成,而盖子可以由铝制成,反之亦然。通过溅镀刻蚀,随后被沉积在工件上的金属层形成具有低电阻的接触。同样,衬底微粒密度减小,这使得功能管芯产出率更高。此外,处理室维护之间的时间能够得到延长,这使得工具正常运行时间延长并且降低所有者的成本。

Claims (30)

1.一种用于刻蚀衬底的等离子刻蚀装置,包括:
至少一个处理室;
衬底支承件,其位于所述至少一个处理室内;以及
等离子体产生设备,其用于产生在刻蚀所述衬底中使用的等离子体,
其中,所述等离子体产生设备包括导电线圈,所述导电线圈位于所述至少一个处理室内,并且所述导电线圈由能够被溅镀到所述至少一个处理室的内表面上的金属材料制成。
2.根据权利要求1所述的等离子刻蚀装置,其中,所述金属材料能够被溅镀,以将微粒材料粘附到所述至少一个处理室的内表面上。
3.根据权利要求2所述的等离子刻蚀装置,其中,所述金属材料为铝、铝合金或铜。
4.根据权利要求1或2所述的等离子刻蚀装置,其中,所述金属材料为吸气材料。
5.根据权利要求4所述的等离子刻蚀装置,其中,所述吸气材料为钛、钽或钨。
6.根据任一前述权利要求所述的等离子刻蚀装置,还包括用于在溅镀所述金属材料期间在所述衬底支承件上放置覆盖件并且在所述溅镀之后移除所述覆盖件的设备。
7.根据权利要求6所述的等离子刻蚀装置,其中,用于放置覆盖件的设备包括所述覆盖件,所述覆盖件与容纳在所述至少一个处理室中的活动元件相连。
8.根据权利要求6所述的等离子刻蚀装置,其中,用于放置覆盖件的设备包括假片,所述假片由所述衬底转移系统运送以与所述衬底支承件接触或者分离。
9.根据任一前述权利要求所述的等离子刻蚀装置,其中,所述至少一个处理室包括盖子,所述盖子与所述至少一个处理室的其余部分电隔离。
10.根据权利要求9所述的等离子刻蚀装置,其中,所述盖子由金属材料制成,所述金属材料能够从所述盖子被溅镀到所述至少一个处理室的内表面上。
11.根据权利要求10所述的等离子刻蚀装置,其中,所述盖子的所述金属材料被溅镀,从而将微粒材料粘附到所述至少一个处理室的内表面上。
12.根据权利要求11所述的等离子刻蚀装置,其中,所述盖子的所述金属材料为铝、铝合金或铜。
13.根据权利要求10或11所述的等离子刻蚀装置,其中,所述盖子的所述金属材料为吸气材料。
14.根据权利要求13所述的等离子刻蚀装置,其中,所述盖子的所述金属材料为钛、钽或钨。
15.根据权利要求10至14中任一项所述的等离子刻蚀装置,包括盖子电源,所述盖子电源用于为所述盖子提供电力以使得能够对来自所述盖子的所述金属材料进行溅镀。
16.根据权利要求15所述的等离子刻蚀装置,其中,所述盖子电源为所述盖子提供负DC电力。
17.根据权利要求15或16所述的等离子刻蚀装置,还包括切换设备,所述切换设备用于将所述盖子在与所述盖子电源连接的状态以及至少一个其他电状态之间进行切换。
18.根据权利要求17所述的等离子刻蚀装置,其中,所述其他电状态为接地状态或者电浮动状态。
19.根据任一前述权利要求所述的等离子刻蚀装置,其中,所述至少一个处理室包括由导电材料制成的壁。
20.根据任一前述权利要求所述的等离子刻蚀装置,还包括控制器,所述控制器可操作以将所述等离子刻蚀装置在第一操作模式和第二操作模式之间进行切换,在所述第一操作模式中对衬底进行刻蚀,在所述第二操作模式中将金属材料溅镀到所述至少一个处理室的内表面上。
21.根据任一前述权利要求所述的等离子刻蚀装置,其中,所述等离子体产生设备是电感耦合的等离子体产生设备,并且所述导电线圈是电感线圈。
22.根据任一前述权利要求所述的等离子刻蚀装置,还包括RF电源,所述RF电源用于向所述衬底支承件施加RF偏置功率。
23.一种用于对等离子刻蚀装置进行清洗的方法,所述等离子刻蚀装置的类型为用于刻蚀衬底并且具有至少一个处理室,所述方法包括将来自位于所述至少一个处理室内的导电线圈的金属材料溅镀到所述至少一个处理室的内表面上以实现清洗功能的步骤。
24.根据权利要求23所述的方法,其中,溅镀所述金属材料以实现清洗功能的步骤在刻蚀所述衬底的步骤之后进行。
25.根据权利要求24所述的方法,在刻蚀步骤之后且在溅镀步骤之前,在衬底支承件上放置覆盖件。
26.根据权利要求23至25中任一项所述的方法,其中,所述金属材料被溅镀以将微粒材料粘附到所述至少一个处理室的内表面上。
27.根据权利要求23至26中任一项所述的方法,其中,所述金属材料为吸气材料,所述吸气材料除去存在于所述至少一个处理室中的一种或更多种气体。
28.根据权利要求27所述的方法,其中,所述吸气材料与存在于所述至少一个处理室中的气体进行反应或者吸收存在于所述至少一个处理室中的气体。
29.根据权利要求23至28中任一项所述的方法,其中,所述等离子刻蚀装置包括盖子,所述盖子与所述至少一个处理室的其余部分电隔离,并且所述方法还包括将来自所述盖子的金属材料溅镀到所述至少一个处理室的内表面上以实现清洗功能。
30.一种用于处理工件的多处理工具,包括多个处理模块,其中,所述多个处理模块之一为预清洗模块,所述预清洗模块包括根据权利要求1所述的等离子刻蚀装置。
CN201510825494.1A 2014-11-25 2015-11-24 等离子刻蚀装置 Active CN105632863B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB1420935.7 2014-11-25
GBGB1420935.7A GB201420935D0 (en) 2014-11-25 2014-11-25 Plasma etching apparatus

Publications (2)

Publication Number Publication Date
CN105632863A true CN105632863A (zh) 2016-06-01
CN105632863B CN105632863B (zh) 2020-04-17

Family

ID=52292507

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510825494.1A Active CN105632863B (zh) 2014-11-25 2015-11-24 等离子刻蚀装置

Country Status (7)

Country Link
US (2) US10622193B2 (zh)
EP (1) EP3026691B1 (zh)
JP (1) JP2016103638A (zh)
KR (1) KR102591909B1 (zh)
CN (1) CN105632863B (zh)
GB (1) GB201420935D0 (zh)
TW (1) TWI673790B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111490126A (zh) * 2019-01-29 2020-08-04 上海理想万里晖薄膜设备有限公司 一种载板的清洗方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10661223B2 (en) * 2017-06-02 2020-05-26 Applied Materials, Inc. Anneal chamber with getter
US11171017B2 (en) 2019-09-06 2021-11-09 Applied Materials, Inc. Shutter disk
KR102620048B1 (ko) * 2020-09-16 2024-01-02 삼성디스플레이 주식회사 에칭 장치 및 이를 이용하는 표시 장치 제조 방법
JP7260578B2 (ja) * 2021-03-19 2023-04-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、および、プログラム
US20230033827A1 (en) * 2021-07-21 2023-02-02 Applied Materials, Inc. Uniformity control for plasma processing

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5384018A (en) * 1992-05-26 1995-01-24 Balzers Aktiengesellschaft Process and apparatus for generating and igniting a low-voltage
WO1999008308A1 (en) * 1997-08-07 1999-02-18 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials
WO2012045187A2 (en) * 2010-10-05 2012-04-12 Oc Oerlikon Balzers Ag In-situ conditioning for vacuum processing of polymer substrates
CN103502504A (zh) * 2011-04-28 2014-01-08 佳能安内华股份有限公司 成膜装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5232571A (en) * 1991-12-23 1993-08-03 Iowa State University Research Foundation, Inc. Aluminum nitride deposition using an AlN/Al sputter cycle technique
US5240555A (en) 1992-04-16 1993-08-31 Eastman Kodak Company Method and apparatus for cleaning semiconductor etching machines
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6217715B1 (en) * 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
US6267121B1 (en) * 1999-02-11 2001-07-31 Taiwan Semiconductor Manufacturing Company Process to season and determine condition of a high density plasma etcher
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6428663B1 (en) 2000-07-03 2002-08-06 Applied Materials, Inc. Preventing defect generation from targets through applying metal spray coatings on sidewalls
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US20030153195A1 (en) 2002-02-13 2003-08-14 Applied Materials, Inc. Method and apparatus for providing modulated bias power to a plasma etch reactor
JP2004277799A (ja) * 2003-03-14 2004-10-07 Matsushita Electric Ind Co Ltd 成膜装置およびそのクリーニング方法
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US8691702B2 (en) 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP2012204644A (ja) 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5937385B2 (ja) 2012-03-16 2016-06-22 東京エレクトロン株式会社 半導体製造装置のガス供給方法、ガス供給システム及び半導体製造装置
US9269562B2 (en) 2013-01-17 2016-02-23 Applied Materials, Inc. In situ chamber clean with inert hydrogen helium mixture during wafer process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5384018A (en) * 1992-05-26 1995-01-24 Balzers Aktiengesellschaft Process and apparatus for generating and igniting a low-voltage
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
WO1999008308A1 (en) * 1997-08-07 1999-02-18 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials
WO2012045187A2 (en) * 2010-10-05 2012-04-12 Oc Oerlikon Balzers Ag In-situ conditioning for vacuum processing of polymer substrates
CN103502504A (zh) * 2011-04-28 2014-01-08 佳能安内华股份有限公司 成膜装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111490126A (zh) * 2019-01-29 2020-08-04 上海理想万里晖薄膜设备有限公司 一种载板的清洗方法

Also Published As

Publication number Publication date
US10622193B2 (en) 2020-04-14
GB201420935D0 (en) 2015-01-07
US20190272980A1 (en) 2019-09-05
CN105632863B (zh) 2020-04-17
KR102591909B1 (ko) 2023-10-19
EP3026691A1 (en) 2016-06-01
EP3026691B1 (en) 2020-05-13
JP2016103638A (ja) 2016-06-02
US20160148787A1 (en) 2016-05-26
TW201628082A (zh) 2016-08-01
US11127568B2 (en) 2021-09-21
TWI673790B (zh) 2019-10-01
KR20160062730A (ko) 2016-06-02

Similar Documents

Publication Publication Date Title
CN105632863A (zh) 等离子刻蚀装置
US9051655B2 (en) Boron ionization for aluminum oxide etch enhancement
CN103247754B (zh) 无晶片自动调整
JP5554705B2 (ja) 基材処理のための方法および装置
TWI455204B (zh) 基板處理用之邊緣環裝置
CN104882360B (zh) 等离子体处理装置的清洁方法
TW569344B (en) Insulation-film etching system
JP2008526026A (ja) プラズマ処理システムにおける副生成物堆積減少方法並びに構造
CN107622945B (zh) 等离子体蚀刻方法、等离子体蚀刻装置和基板载置台
WO2008147756A4 (en) In-situ photoresist strip during plasma etching of active hard mask
TWI743123B (zh) 電漿處理方法
US20200395200A1 (en) Process and related device for removing by-product on semiconductor processing chamber sidewalls
WO2014113148A1 (en) In situ chamber clean with inert hydrogen helium mixture during wafer process
US20090311145A1 (en) Reaction chamber structural parts with thermal spray ceramic coating and method for forming the ceramic coating thereof
JPWO2008032627A1 (ja) ドライエッチング方法
TW202126399A (zh) 用於靜電吸盤的原位清洗之方法與設備
KR101098858B1 (ko) 클리닝 방법 및 진공 처리 장치
JP2003077975A (ja) マルチチャンバースパッタ処理装置
KR101045364B1 (ko) 고방열 금속판을 이용한 피씨비 제조방법
EP3109890B1 (en) Plasma etching apparatus
JP3948296B2 (ja) プラズマエッチング処理方法及び装置
JP3553692B2 (ja) プラズマ気相成長装置及びそのプラズマ気相成長装置における防着シールドの薄膜除去方法
JP2021005579A (ja) ドライエッチング方法及びデバイスの製造方法
WO2020132175A1 (en) Methods of cleaning an oxide layer in a film stack to eliminate arcing during downstream processing
JP2008135661A (ja) 半導体処理装置の清浄化方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant