CN105428210A - 用于清洁等离子体工艺室部件的湿法清洁工艺 - Google Patents

用于清洁等离子体工艺室部件的湿法清洁工艺 Download PDF

Info

Publication number
CN105428210A
CN105428210A CN201510593168.2A CN201510593168A CN105428210A CN 105428210 A CN105428210 A CN 105428210A CN 201510593168 A CN201510593168 A CN 201510593168A CN 105428210 A CN105428210 A CN 105428210A
Authority
CN
China
Prior art keywords
parts
hno
remove
plasma process
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510593168.2A
Other languages
English (en)
Other versions
CN105428210B (zh
Inventor
阿门·阿沃扬
肯尼特·贝隆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105428210A publication Critical patent/CN105428210A/zh
Application granted granted Critical
Publication of CN105428210B publication Critical patent/CN105428210B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02054Cleaning before device manufacture, i.e. Begin-Of-Line process combining dry and wet cleaning steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • ing And Chemical Polishing (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明涉及用于清洁等离子体工艺室部件的湿法清洁工艺。一种用于清洁等离子体工艺室部件的系统和方法,包括:从所述等离子体工艺室拆除部件,所拆除的所述部件包括沉积在所述部件的表面上的材料。将加热的氧化剂溶液施加到沉积在所述部件上的所述材料中,以氧化第一部分沉积材料。将剥离溶液施加到部件中,以去除所述沉积材料的被氧化的第一部分。施加蚀刻溶液,以去除所述沉积材料的第二部分,所述清洁的部件可以被冲洗和干燥。

Description

用于清洁等离子体工艺室部件的湿法清洁工艺
相关申请的交叉引用
本申请要求于2014年9月17日提交的、美国临时专利申请号为62/051920、名称为《WetCleanProcessforCleaningPlasmaProcessingChamberComponents》的优先权,通过引用将该申请的全文并入本文用于所有目的。
技术领域
本发明一般性地涉及清洁工艺,更具体地,涉及用于从半导体工艺室的陶瓷、玻璃、石英和硅部件清洁金属和其它污染物的方法和系统。
背景技术
半导体工艺室是由多种不同类型材料制造的非常精确成形的部件的复杂组件。通常,半导体工艺室由铝或不锈钢形成。在半导体工艺室中的部件可包括陶瓷、不锈钢、铝、玻璃、石英、各种硅化合物(一氧化硅、二氧化硅、碳化硅等)和其它材料。这些部件中有很多是昂贵和复杂的、具有许多精准的表面和精准的形状的部件。
在半导体工艺室中,将许多类型的工艺实施到半导体晶片上。从半导体晶片中去除的化学残留物和材料残留物沉积在半导体工艺室内部的各种部件上。从半导体晶片中去除的化学残留物和材料残留物必须周期性地清洁,并从半导体工艺室的内表面和部件去除。
从用过的工艺室部件中去除这些残余物可以是具有挑战性的,往往比用相应的新工艺室部件更换已使用的工艺室部件更贵更困难。用过的工艺室部件可以随后进行处置。所需要的是一种用于将用过的工艺室部件充分清洁以允许所述清洁的和用过的工艺室部件再利用的更有效和更高效的方法。
发明内容
从广义上说,本发明通过提供用于清洁用过的工艺室部件的系统和方法满足这些需要。但是应该理解的是,本发明可以用包括工艺、装置、系统、计算机可读介质或设备的多种方式来实现。本发明的几个创造性实施例描述如下。
一个实施例提供了用于清洁等离子体工艺室部件的方法。将待清洁的部件从等离子体工艺室拆除。所拆除的部件包括沉积在其上的材料。给所拆除的部件实施基本清洁工艺。对所拆除的所述部件实施去除油污工艺,从所拆除的部件去除沉积的材料,以产生清洁的部件,并且所述清洁的部件可以干燥并准备在等离子体工艺室中再利用。
去除沉积在所拆除的部件上的至少一种材料包括:将加热的氧化溶液施加到所沉积的材料上,以氧化所沉积的材料的第一部分。施加剥离溶液,以去除所沉积的材料的氧化的第一部分。施加蚀刻溶液,以从所拆除的所述部件去除所沉积的材料的第二部分。
氧化溶液可以包括氢氧化钾(KOH)和/或高锰酸钾(KMnO4)。一种氧化溶液混合物可以包括比例为介于约5份氢氧化钾(KOH)比约2份高锰酸钾(KMnO4)之间的氢氧化钾(KOH)和高锰酸钾(KMnO4)的混合物。
剥离溶液可以包括氢氟酸(HF)和/或硝酸(HNO3)。一种剥离溶液混合物可以包括氢氟酸(HF)和硝酸(HNO3)的混合物,其比例为约1份氢氟酸(HF)和约1份硝酸(HNO3)。
蚀刻溶液可包括氢氟酸(HF)和/或硝酸(HNO3)和/或乙酸(HAc)。一种蚀刻溶液混合物包括氢氟酸(HF)、硝酸(HNO3)和乙酸(HAc)的混合物,其比例为约1份氢氟酸(HF)、约7.5份硝酸(HNO3)和约3.7份乙酸(HAc)。氧化溶液、剥离溶液和/或蚀刻溶液可以用水稀释。
可以在等离子体工艺室中安装所述清洁的部件,在所述等离子体工艺室中设置衬底,并在所述衬底上实施等离子体工艺。
另一个实施例提供一种等离子体工艺室部件,其包括蚀刻的表面,所述蚀刻的表面被蚀刻,以去除沉积在所述等离子体工艺室部件上的至少一种材料。所述蚀刻的表面包括将加热的氧化溶液施加到所述等离子体工艺室部件上的沉积材料,以氧化所沉积的材料的第一部分。所述氧化溶液包括氢氧化钾(KOH)和高锰酸钾(KMnO4)的混合物。将剥离溶液施加到所述等离子体工艺室部件上,以从所述等离子体工艺室部件去除所沉积的材料的被氧化的第一部分。所述剥离溶液包括氢氟酸(HF)和硝酸(HNO3)的混合物。施加蚀刻溶液,以从所述等离子体工艺室部件去所沉积的材料的第二部分。所述蚀刻溶液包括氢氟酸(HF)、硝酸(HNO3)和乙酸(醋酸)的混合物。所述清洁的部件可以干燥,然后重新使用。
本发明的优点包括对以前不能被清洁和重复使用的部件进行清洁和再利用的能力。通过重新使用部件而提供的另一个优点包括,通过需要较少的更替部件来降低运营成本。然而另一个优点包括减少使用过的部件的废物流。
从下面的结合附图的、以举例的方式示出本发明的原理的详细说明中,本发明的其它方面和优点将变得显而易见。
附图说明
通过下面的结合附图的详细说明,本发明将会容易地得到理解。
图1是用于实现本公开的实施例的半导体工艺室的简化示意图。
图2是用于实现本公开的实施例的、沉积在从半导体工艺室中拆除的部件的表面上的硅和金属化合物的放大视图。
图3A是示出了用于实现本公开的实施例的、在清洁用过的工艺室部件中所执行的方法操作的流程图。
图3B示出了用于实现本公开的实施例的、从半导体工艺室去除的边缘环。
图3C示出了用于实现本公开的实施例的、放置在热水浴中的要清洁的部件。
图3D示出了用于实现本公开的实施例的、被支撑在可选部件支撑件内的部件。
图3E示出了用于实现本公开的实施例的、被支撑在热水浴中的可选部件支撑件内的部件。
图3F示出了用于实现本公开的实施例的、具有可选的搅拌机构的在热水浴中的部件。
图3G示出了用于实现本公开的实施例的、被支撑在冲洗系统中的部件。
图3H示出了用于实现本公开的实施例的、被支撑在干燥炉中的部件。
图4是示出了用于实现本公开的实施例的、在刻蚀使用过的工艺室部件的表面中执行的方法操作的流程图。
具体实施方式
现在将描述用于清洁用过的工艺室部件的几个示例性实施例。对本领域的技术人员,显而易见的是,本发明可以在没有本文所阐述的一些或全部具体细节的情况下实施。
半导体制造过程产生各种副产品。以举例的方式来说,在蚀刻工艺室中进行的蚀刻工艺可能会产生从正在处理的半导体晶片中去除的挥发性的硅和金属的化合物。挥发性的硅和金属的化合物的至少一部分沉积和凝结成在蚀刻工艺室内的各种表面上的固体形式。如果沉积不使用合适的室清洁工艺定期从蚀刻工艺室中去除,则硅和金属的化合物沉积会产生不希望的粒子。
对蚀刻工艺室实施室清洁工艺,以去除硅和金属的化合物沉积物的至少一部分。然而,全面的室清洁会经常需要拆卸蚀刻工艺室和拆除各种部件。然后,在重新组装蚀刻工艺室前,每个部件可以单独地清洁和检查。
图1是用于实现本公开的实施例的半导体工艺室100的简化示意图。半导体工艺室100由室侧壁139、室顶部137和出口138围成。出口138可耦合到真空泵151,以将挥发性等离子体副产物从工艺室100抽出。
半导体工艺室100还包括下电极108,其通常包含用于牢固夹持用于在半导体工艺室中进行处理的半导体衬底93的静电卡盘。下电极108被在下电极和支撑壳体143之间的绝缘环95支撑。下电极108可以基本上由一个或多个RF耦合环114、一个或多个边缘环118和一个或多个绝缘环89包围。RF耦合环114、边缘环118及绝缘环89由绝缘体149支撑。
半导体工艺室100还包括上电极组件105,上电极组件105包括上电极104、气体分配板101和支撑层119、121。控制电路124被耦合到上电极组件,以将一个或多个所选择的RF信号施加到上电极104和/或下电极108。一个或多个气体源103流体耦合到气体分配板101。上电极104可以是包括一个或多个气体口(未示出)以允许由气体分配板101分配的气体流进半导体工艺室100的喷头型上电极。一个或多个绝缘环112环绕上电极组件105的一部分,例如外围,以将上电极组件105与上电极延伸组件129绝缘。上电极延伸组件129包围一个或多个绝缘环112的一部分,例如外围。
上电极延伸组件129包括上电极延伸件116、第一层131和第二层133。在一些实施例中,上电极延伸组件129包括任意数量的层。在一个实施例中,上电极延伸件116由半导体构成。在一些实施例中,第一层131由诸如石英或氮化铝之类的电介质构成。在一个实施例中,第二层133是与交流电源(未示出)或DC电源(未示出)和接地耦合以控制热量的加热器。从第二层133产生的热量被提供给上电极延伸件116。在几个实施例中,上电极延伸组件129不包括第二层133。在一个实施例中,金属层99包围一个或多个绝缘环97的一部分。
在各个实施例中,半导体工艺室100还可以包括护罩125。虽然示出了护罩125的环形形状,但在一些实施例中,护罩125是不同形状的,例如具有多边形横截面或具有椭圆横截面的形状。护罩125可以形成等离子体区150的边缘,以在等离子体区内约束等离子体。护罩125可包括槽145。护罩125也可以由一个或多个被隔开的同心的约束环125A形成,而不是由护罩的外周部形成。
槽允许等离子体副产物和气体从等离子体区150流向出口138。半导体工艺室100的其它细节在2012年12月2日提交的、题为《SystemsandMethodsforControllingaPlasmaEdgeRegion》的共同拥有的共同待审的美国专利申请No.13/310673中有描述,在此通过引用将其并入本文,以用于所有目的。
可从半导体工艺室100拆除以用于湿法清洁的部件的例子可包括但不限于:上电极104、护罩125、一个或多个约束环125A、气体分配板101、上电极延伸件116、边缘环(多个边缘环)118和绝缘环89。上电极104、护罩125、一个或多个约束环125A、气体分配板101、上电极延伸件116,边缘环(多个边缘环)118可以由诸如含硅材料(诸如二氧化硅)、陶瓷材料(诸如氧化铝或其它陶瓷材料)、或含石英材料之类的非导体材料形成。
图2是用于实现本公开的实施例的、沉积在从半导体工艺室100中拆除的部件的表面上的硅和金属的化合物沉积物202的放大视图200。提供了规模为30微米级,仅供参考。硅和金属的化合物沉积物202可以是大于或小于所图示的。
表1包括一些经常在工艺室部件上发现的、痕量金属污染物的形式的、每平方厘米10E15个原子的残余物的非全面列表。
注意,具有含铜残余物的待清洁部件可以与不具有含铜残余物的其它部件隔离,以避免与含铜残余物的潜在交叉污染。
图3A是示出了用于实现本公开的实施例的、在清洁用过的工艺室部件中所执行的方法操作300的流程图。这里所示的操作是举例式的,因为它应该理解为,某些操作可以具有子操作,而在其它情况下,本文中所描述的某些操作可以不被包括在示出的操作内。了解了这一点,现在将描述方法和操作300。
在操作305中,从半导体工艺室100去除要被清洁的部件。图3B示出了用于实现本公开的实施例的、从半导体工艺室100去除的边缘环118。边缘环118是示例性部件,但是应该理解的是,任何适用的工艺室部件可以被拆除以进行本文所描述的湿法清洁工艺。
在操作310中,基本的清洁工艺被实施到要进行清洁的部件。基本清洁工艺从要进行清洁的部件的表面去除相对松散连接的材料。基本清洁工艺可以包括诸如擦拭、刷涂、刮和介质喷射待清洁的部件表面之类的一个或多个清洁工艺。在一个实现方案中,基本清洁工艺可以包括在压强在约30psi至约40psi之间和颗粒进料速度小于约0.3千克/分钟的条件下使用CO2丸粒或类似介质进行介质喷射约5分钟或基本上去除可见沉积物所需要的时间间隔。在进行以下操作之前,部件可能需要升温至环境温度。
在操作315中,将要被清洁的部件放置在热水浴中,浸泡一段时间。图3C示出了用于实现本公开的实施例的、放置在热水浴360中的要清洁的部件118。要清洁的部件118完全浸入热水浴360的大量水363中。
图3D示出了用于实现本公开的实施例的、被支撑在可选部件支撑件370上的部件118。图3E示出了用于实现本公开的实施例的、被支撑在热水浴360中的可选部件支撑件370上的部件。部件118可在热水浴360期间由可选部件支撑件370支撑。部件支撑件370支撑部件,并提供用于移动部件118而不物理处理部件的手柄。应当理解的是,可选部件支撑件370在使用前应清洁。举例而言,清洁可选部件支撑件370可包括使用异丙醇(IPA)擦拭物擦拭可选部件支撑件,然后用超纯水或去离子水冲洗。接着,可选部件支撑件可以使用2%的HNO3溶液擦拭,然后用第二超纯水或去离子水冲洗。
在热水浴360中的水363可以是在大约65摄氏度和大约90摄氏度366之间。可以提供加热器366,以将水在加热并保持在所需的温度。水363可以是诸如去离子水之类的超纯水。部件118可以放置在热水浴360中持续约30分钟至约90分钟。
水可以在热水浴期间通过任何合适的搅拌机构367搅拌。图3F示出了用于实现本公开的实施例的、具有可选的搅拌机构367的在热水浴中的部件118。举例而言,所述搅拌机构可以通过喷嘴368提供搅拌或冒泡的清洁干燥空气或其它气体并使其进入水,或者使用超声转换器的超声搅拌,诸如向热水浴360中的水363施加超声信号。也可使用其它适宜的搅拌系统。
操作315的热水浴360也可以包括冲洗工艺,以在热水浴后冲洗部件118。图3G示出了用于实现本公开的实施例的、被支撑在冲洗系统370中的部件118。部件118被示为由替代的和可选的部件支撑件370'支撑。冲洗工艺可以包括:使用喷嘴38,以及使用去离子水(DIW)喷雾冲洗382约1分钟。操作315的热水浴也可以包括干燥操作,干燥操作包括使用清洁干燥空气或其它合适的气体,以将多余的湿气从部件表面上吹走。清洁干燥空气或其它合适的气体可以在约50至约50psi下施加约一分钟或更长的时间,以从部件表面上去除可见的湿气。
在操作320中,给部件118实施最初的去除油污工艺。最初的去除油污工艺可以使用诸如丙酮、异丙醇和/或合适的去污剂之类的合适的溶剂。最初的去除油污方法包括使用用丙酮或其它合适的溶剂湿化的擦来擦拭部件118。最初的去除油污工艺基本上从部件118上去除了所有的石油基油脂、油以及诸如工艺残留物和人的皮肤油之类的其它油脂和油。最初的去除油污工艺也可以去除聚合物残余物的一部分,如存在于部件上的含有硅和金属的化合物沉积物。
最初的去除油污也可以包括在一些丙酮或其它合适的溶剂中浸泡部件118约10分钟或更长时间。在10分钟内,部件118可以旋转至少一次和/或搅拌溶剂至少一次。在部件已在丙酮或其它合适的溶剂中浸泡后,部件118可被擦拭约一分钟。最初的去除油污还可包括用超纯水或去离子水冲洗部件118,如将在下面更详细地描述的那样。然后,可将冲洗过的部件118在空气中干燥,或用约45psi+/-5psi的清洁干燥空气吹干。
在操作325中,对该部件实施二次去除油污工艺。二次去除油污工艺可以使用诸如异丙醇、酒精(乙醇)、二氯甲烷和其他合适的溶剂及其组合和混合物等任何合适的溶剂。二次去除油污工艺包括将部件浸泡在一些异丙醇或其它合适的溶剂中,并随后使用用异丙醇或其它合适的溶剂湿化的擦来擦拭部件118。二次去除油污工艺基本上去除了最初的去除油污工艺中的任何残余物,诸如最初的溶剂残余物。二次去除油污工艺也基本上去除了在最初的去除油污工艺后残留的任何润滑脂或油。二次去除油污还可包括用超纯水或去离子水冲洗部件118,如将在下面更详细地描述的那样。然后,可以将冲洗过的部件118在空气中干燥,或用约45psi+/-5psi的干燥清洁的空气吹干。
在操作330中,对部件的表面进行蚀刻,以基本上去除部件表面上的残余沉积材料。表面蚀刻工艺330将在下面的图4中更详细地描述。
在操作345中,通过烘烤使湿气和其它挥发性残留物离开部件的表面,而将清洁部件118干燥。图3H示出了用于实现本公开的实施例的、在干燥炉390中被支撑的部件118。在一个实现方式中,部件118可在炉390或其它合适的烘焙室中、在约115至约125摄氏度的温度下烘焙超过约2小时。应当理解的是,炉390在使用前应进行清洁。举例而言,清洁炉390可包括使用异丙醇(IPA)擦拭物和/或使用2%的HNO3溶液擦拭物擦拭炉。然后,该方法操作可以结束。
图4是示出了用于实现本公开的实施例的、在刻蚀使用过的工艺室部件的表面中执行的方法操作330的流程图。这里所示的操作是例举式的,因为,应该理解的是,某些操作可以具有子操作,在其它情况下,本文中所描述的某些操作可以不被包括在示出的操作中。了解了这一点,现在将描述方法和操作330。
在操作405中,在合适的容器中制备一些氧化溶液。一种合适的氧化溶液是将每体积约45至约85(重量)%的氢氧化钾(KOH)与每体积约98至约100%(重量)的高锰酸钾(高锰酸钾)和去离子水(DIW)以65/27/8的重量百分比混合。KMnO4/KOH的混合物可以是在约45%至约85%的高锰酸钾的范围内。然而,应该理解,可以使用其它合适的氧化溶液和其他合适的氧化溶液的组合。
在操作410中,将氧化溶液加热到选定的温度。选定的温度低于氧化溶液的沸点。举例而言,氧化溶液可以是KOH/KMnO4/DIW混合物,并且可以加热到约70摄氏度和约90摄氏度之间。
在操作415中,部件118被放置在加热的氧化溶液内持续选定的时间。部件118可以放置在加热的氧化溶液内约10分钟至约60分钟。部件118应完全浸泡在加热的氧化溶液中,基本上类似于图3C和3E所示的在热水浴中浸泡部件。氧化溶液氧化部件118的表面。在操作420,将部件从加热的氧化溶液中取出,并如上在图3G中所述,用DIW冲洗液冲洗约2分钟。
在操作425,在合适的容器中制备一些氧化物剥离溶液。一种合适的氧化物剥离溶液是1/1/1份比的每体积约49%(重量)氢氟酸(HF)、每体积约69%(重量)的硝酸(HNO3)和DIW。可替换地,可以使用富含HF的或富含HNO3的酸的混合物。应当理解,可以使用其它合适的氧化物剥离溶液和其它合适的氧化溶液的组合。
在操作430中,部件118在约15-30摄氏度下被放置在氧化物剥离溶液中约5分钟至约20分钟的选定时间。如以上所讨论的那样,氧化物剥离液从部件上剥离氧化的表面材料以及诸如金属残余物之类的任何残余物。
在操作435,部件118被从氧化物剥离液中去除,如在上述图3G中所述,在DIW冲洗液中冲洗约3分钟。
在操作440中,部件118浸泡在DIW浴中,超声波信号被应用于使用如图3F所示的超声转换器367的DIW浴。超声信号可以是在约15±5瓦/平方英寸的任何合适的频率和功率。
部件118浸泡在DIW浴中,超声处理时间是约5至约20分钟。如图3C所示,DIW保持为流进内盆362,使得DIW从内盆溢出364并进入外盆361。DIW可以作为大于2兆欧的电阻进行监控。在内盆362中的DIW的流动量应大于约1.5,其中流动量被定义为(水流速)*(超声处理时间)/(内盆362的体积)。
在操作445,部件118被从超声水浴中取出,并如上在图3G中所述,在DIW冲洗液中冲洗部件的每一侧至少约3分钟。
在操作450中,部件118采用清洁干燥空气或其它合适的气体或气体混合物进行干燥。干燥该部件118从部件表面上去除大部分湿气。
在操作455中,在合适的容器中制备一些二次蚀刻溶液。一种合适的二次蚀刻溶液是1/7.5/3.7/87.8份比的每体积约49%(重量)氢氟酸(HF)、每体积约69%(重量)的硝酸(HNO3)、约100%(重量)的乙酸(HAc)和DIW。可替换地,可以使用富含HF的或富含HNO3的酸的混合物。然而,应当理解,可以使用其它合适的蚀刻溶液和其它合适的蚀刻溶液的组合。
在操作460,部件118被放置在二次蚀刻溶液中约5分钟至约20分钟。如上文所讨论的,二次蚀刻溶液进一步蚀刻部件118的表面,并进一步从部件上去除表面材料的一部分和诸如金属残余物之类的任何残余物。
在操作465,部件118被从二次蚀刻溶液去除,如上在图3G中所述,在DIW冲洗液中冲洗至少约3分钟。
在操作470,部件118采用清洁干燥空气或其它合适的气体或气体混合物进行干燥。干燥该部件118去除了部件表面上的大部分湿气。如上在图3中所述,该方法操作在操作345中继续进行。
清洁过的部件可以准备再利用,如包装和储存。清洁过的部件准备好再利用,可安装在等离子体工艺室中,该等离子体工艺室可被用来对放置在等离子体工艺室中的一个或多个衬底实施等离子体处理。
记住了上面的实施例后,应该理解的是,本发明可采用涉及在计算机系统中存储的数据的各种用计算机实现的操作。这些操作是那些需要对物理量进行物理操纵的操作。通常,尽管不是必须,这些量采用能够被存储、传输、组合、比较、以及以其它方式操纵的电信号或磁信号的形式。另外,所进行的操作经常涉及诸如生成、识别、确定或比较之类的术语。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器、定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数的指令。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
不希望限制,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洁室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置或装载口搬运晶片的容器的材料搬运中使用的工具通信。
虽然为了清楚理解而在一定程度上详细描述了上述发明,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。因此,本实施例应被认为是说明性的而不是限制性的,并且本发明并不限于这里给出的细节,而是可以在所附权利要求的范围和等同物内进行修改。

Claims (19)

1.一种用于清洁等离子体工艺室部件的方法,其包括:
从所述等离子体工艺室拆除待清洁的所述部件,所拆除的所述部件包括沉积在所述部件上的至少一种材料;
对所拆除的所述部件实施基本清洁工艺;
从所拆除的所述部件去除油污;
去除沉积在所拆除的所述部件上的所述至少一种材料,以产生清洁的部件;以及
干燥所述清洁的部件。
2.如权利要求1所述的方法,其中,去除沉积在所拆除的所述部件上的所述至少一种材料包括:
将加热的氧化溶液施加到沉积在所拆除的所述部件上的所述至少一种材料,以氧化沉积在所拆除的所述部件上的所述至少一种材料的第一部分;
将剥离溶液施加到所拆除的所述部件上,以从所拆除的所述部件去除所述至少一种材料的被氧化的所述第一部分;以及
施加蚀刻溶液,以从所拆除的所述部件去除所述至少一种材料的第二部分。
3.如权利要求2所述的方法,其中,所述氧化溶液包括氢氧化钾(KOH)。
4.如权利要求2所述的方法,其中,所述氧化溶液包括高锰酸钾(KMnO4)。
5.如权利要求2所述的方法,其中,所述氧化溶液包括氢氧化钾(KOH)和高锰酸钾(KMnO4)的混合物。
6.如权利要求2所述的方法,其中,所述氧化溶液包括比例为约5份氢氧化钾(KOH)比约2份高锰酸钾(KMnO4)的氢氧化钾(KOH)和高锰酸钾(KMnO4)的混合物。
7.如权利要求2所述的方法,其中,所述剥离溶液包括氢氟酸(HF)。
8.如权利要求2所述的方法,其中,所述剥离液包括硝酸(HNO3)。
9.如权利要求2所述的方法,其中,所述剥离溶液包括氢氟酸(HF)和硝酸(HNO3)的混合物。
10.如权利要求2所述的方法,其中,所述剥离溶液包括比例为约1份氢氟酸(HF)比约1份硝酸(HNO3)的氢氟酸(HF)和硝酸(HNO3)的混合物。
11.如权利要求2所述的方法,其中,所述蚀刻溶液包括氢氟酸(HF)。
12.如权利要求2所述的方法,其中,所述蚀刻溶液包括硝酸(HNO3)。
13.如权利要求2所述的方法,其中,所述蚀刻溶液包括乙酸(HAc)。
14.如权利要求2所述的方法,其中,所述蚀刻溶液包括氢氟酸(HF)、硝酸(HNO3)和乙酸(HAc)的混合物。
15.如权利要求2所述的方法,其中,所述蚀刻溶液包括氢氟酸(HF)、硝酸(HNO3)和乙酸(HAc)的混合物,其比例为约1份氢氟酸(HF)、约7.5份硝酸(HNO3)和约3.7份乙酸(HAc)。
16.如权利要求2所述的方法,其中,所述氧化溶液、所述剥离溶液和/或所述蚀刻溶液中的至少一种用水稀释。
17.如权利要求2所述的方法,其进一步包括:
在等离子体工艺室中安装所述清洁的部件;
在所述等离子体工艺室中设置衬底;以及
对所述衬底实施等离子体工艺。
18.一种用于清洁等离子体工艺室部件的方法,其包括:
从所述等离子体工艺室拆除要清洁的所述部件,所拆除的所述部件包括沉积在所述部件上的至少一种材料;
对所拆除的所述部件实施基本清洁工艺;
从所拆除的所述部件去除油污;
去除沉积在所拆除的所述部件上的所述至少一种材料以产生清洁的部件包括:
将加热的氧化溶液施加到沉积在所拆除的所述部件上的所述至少一种材料,以氧化沉积在所拆除的所述部件上的所述至少一种材料的第一部分,其中所述氧化溶液包括比例为在约5份氢氧化钾(KOH)比约2份高锰酸钾(KMnO4)之间的氢氧化钾(KOH)和高锰酸钾(KMnO4)的混合物;
将剥离溶液施加到所拆除的所述部件上,以从所拆除的所述部件去除所述至少一种材料的被氧化的所述第一部分,其中所述剥离溶液包括氢氟酸(HF)和硝酸(HNO3)的混合物,其比例为约1份氢氟酸(HF)比约1份硝酸(HNO3);以及
施加蚀刻溶液,以从所拆除的所述部件去除所述至少一种材料的第二部分,其中所述蚀刻溶液包括氢氟酸(HF)、硝酸(HNO3)和乙酸(HAc)的混合物,其比例为约1份氢氟酸(HF)、约7.5份硝酸(HNO3)和约3.7份乙酸(HAc);以及干燥所述清洁的部件。
19.一种等离子体工艺室部件,其包括:
蚀刻的表面,所述蚀刻的表面被蚀刻,以去除沉积在所述等离子体工艺室部件上的至少一种材料,其中,蚀刻所述蚀刻的表面包括:
将加热的氧化溶液施加到沉积在所述等离子体工艺室部件上的所述至少一种材料,以氧化沉积在所述等离子体工艺室部件上的所述至少一种材料的第一部分,其中所述氧化溶液包括氢氧化钾(KOH)和高锰酸钾(KMnO4)的混合物;
将剥离溶液施加到所述等离子体工艺室部件上,以从所述等离子体工艺室部件去除所述至少一种材料的被氧化的所述第一部分,其中所述剥离溶液包括氢氟酸(HF)和硝酸(HNO3)的混合物;以及
施加蚀刻溶液,以从所述等离子体工艺室部件去除所述至少一种材料的第二部分,其中所述蚀刻溶液包括氢氟酸(HF)、硝酸(HNO3)和乙酸(HAc)的混合物;以及
干燥所述清洁的部件。
CN201510593168.2A 2014-09-17 2015-09-17 用于清洁等离子体工艺室部件的湿法清洁工艺 Active CN105428210B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462051920P 2014-09-17 2014-09-17
US62/051,920 2014-09-17
US14/525,118 US9406534B2 (en) 2014-09-17 2014-10-27 Wet clean process for cleaning plasma processing chamber components
US14/525,118 2014-10-27

Publications (2)

Publication Number Publication Date
CN105428210A true CN105428210A (zh) 2016-03-23
CN105428210B CN105428210B (zh) 2018-06-15

Family

ID=55455446

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510593168.2A Active CN105428210B (zh) 2014-09-17 2015-09-17 用于清洁等离子体工艺室部件的湿法清洁工艺

Country Status (5)

Country Link
US (1) US9406534B2 (zh)
JP (1) JP6584249B2 (zh)
KR (1) KR20160033056A (zh)
CN (1) CN105428210B (zh)
TW (1) TWI693651B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110711738A (zh) * 2018-07-13 2020-01-21 三星电子株式会社 等离子体发生器、清洗液处理设备和处理方法、清洗设备
CN111534825A (zh) * 2020-05-14 2020-08-14 富乐德科技发展(大连)有限公司 去除半导体设备不锈钢部件钛及氮化钛沉积膜的工艺
TWI839386B (zh) 2018-09-21 2024-04-21 美商蘭姆研究公司 電漿處理腔室的調節方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
KR102156980B1 (ko) * 2018-11-28 2020-09-16 배기백 차량의 크롬몰딩 복원방법
KR102024757B1 (ko) * 2019-02-15 2019-09-24 (주)에이텍솔루션 이온 소스의 세정 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102027183B1 (ko) * 2019-03-08 2019-10-01 (주)에이텍솔루션 이온 소스의 세정 방법 및 이를 이용한 반도체 소자의 제조 방법
CN114830312A (zh) * 2019-12-17 2022-07-29 应用材料公司 腔室部件的表面成形和纹理化
US20210391150A1 (en) * 2020-06-10 2021-12-16 Plasma-Therm Llc Plasma Source Configuration
US20240096664A1 (en) * 2022-09-15 2024-03-21 Applied Materials, Inc. On-board cleaning of tooling parts in hybrid bonding tool

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6150762A (en) * 1998-01-26 2000-11-21 Samsung Electronics Co., Ltd. Method of manufacturing cathode for plasma etching apparatus using chemical surface treatment with potassium hydroxide (KOH), and cathode manufactured thereby
US6902627B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Cleaning chamber surfaces to recover metal-containing compounds
US20050241679A1 (en) * 2004-01-29 2005-11-03 Rauch Udo M Stripping apparatus and method for removal of coating on metal surfaces
US20110056914A1 (en) * 2008-05-02 2011-03-10 Oerlikon Trading Ag, Trubbach Process for the stripping of workpieces and stripping solution

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100445273B1 (ko) * 2001-10-26 2004-08-21 손정하 세라믹 절연체의 세정방법
CN100382230C (zh) * 2002-04-17 2008-04-16 兰姆研究公司 硅电极及其生产方法、生产硅部件及加工半导体晶片方法
US7045072B2 (en) * 2003-07-24 2006-05-16 Tan Samantha S H Cleaning process and apparatus for silicate materials
US20090142247A1 (en) * 2007-12-03 2009-06-04 Applied Materials, Inc. Chemical treatment to reduce machining-induced sub-surface damage in semiconductor processing components comprising silicon carbide
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6150762A (en) * 1998-01-26 2000-11-21 Samsung Electronics Co., Ltd. Method of manufacturing cathode for plasma etching apparatus using chemical surface treatment with potassium hydroxide (KOH), and cathode manufactured thereby
US6902627B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Cleaning chamber surfaces to recover metal-containing compounds
US20050241679A1 (en) * 2004-01-29 2005-11-03 Rauch Udo M Stripping apparatus and method for removal of coating on metal surfaces
US20110056914A1 (en) * 2008-05-02 2011-03-10 Oerlikon Trading Ag, Trubbach Process for the stripping of workpieces and stripping solution
CN102016122A (zh) * 2008-05-02 2011-04-13 欧瑞康贸易股份公司(特吕巴赫) 从工件上脱层的方法及脱层溶液

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110711738A (zh) * 2018-07-13 2020-01-21 三星电子株式会社 等离子体发生器、清洗液处理设备和处理方法、清洗设备
CN110711738B (zh) * 2018-07-13 2022-12-20 三星电子株式会社 等离子体发生器、清洗液处理设备和处理方法、清洗设备
TWI839386B (zh) 2018-09-21 2024-04-21 美商蘭姆研究公司 電漿處理腔室的調節方法
CN111534825A (zh) * 2020-05-14 2020-08-14 富乐德科技发展(大连)有限公司 去除半导体设备不锈钢部件钛及氮化钛沉积膜的工艺
CN111534825B (zh) * 2020-05-14 2022-05-31 富乐德科技发展(大连)有限公司 去除半导体设备不锈钢部件钛及氮化钛沉积膜的工艺

Also Published As

Publication number Publication date
JP6584249B2 (ja) 2019-10-02
TW201626486A (zh) 2016-07-16
CN105428210B (zh) 2018-06-15
US20160079096A1 (en) 2016-03-17
JP2016063226A (ja) 2016-04-25
TWI693651B (zh) 2020-05-11
US9406534B2 (en) 2016-08-02
KR20160033056A (ko) 2016-03-25

Similar Documents

Publication Publication Date Title
CN105428210A (zh) 用于清洁等离子体工艺室部件的湿法清洁工艺
JP4648392B2 (ja) プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法
JP6009520B2 (ja) シリコン含有膜の平滑SiConiエッチング
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US20170323768A1 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancemnet in plasma processing chamber
TWI229907B (en) Method and system for high pressure wafer-less auto clean for etch applications
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
US8118946B2 (en) Cleaning process residues from substrate processing chamber components
JP2022505863A (ja) 半導体用途の構造体をエッチングするための方法
CN103210478A (zh) 两阶段的均匀干式蚀刻
CN112840039A (zh) 处理室表面移除金属污染物
US6814814B2 (en) Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
CN105719950A (zh) 硅蚀刻与清洁
KR100445273B1 (ko) 세라믹 절연체의 세정방법
TW201442108A (zh) 在原處之金屬殘餘物清潔
US11935730B2 (en) Systems and methods for cleaning an edge ring pocket
CN113594032A (zh) 基板处理方法及等离子体处理装置
CN115298798A (zh) 调节等离子体处理室的方法
JP7426346B2 (ja) 高アスペクト比構造の効率的な洗浄およびエッチング
CN112563134A (zh) 基片的刻蚀方法和薄膜晶体管
KR102658106B1 (ko) 타이트한 공간들에서 실리콘을 등방성으로 에칭하기 위한 잔여물이 없는 시스템들 및 방법들
JP7254437B2 (ja) シリコン部品を調整するための方法
TW202135125A (zh) 具有多個電漿單元的處理腔室
JP2023529454A (ja) チャンバ洗浄方法
CN101026096A (zh) 从衬底去除硅的碳氧化物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant