CN105103269A - 基板加工设备 - Google Patents

基板加工设备 Download PDF

Info

Publication number
CN105103269A
CN105103269A CN201380068883.3A CN201380068883A CN105103269A CN 105103269 A CN105103269 A CN 105103269A CN 201380068883 A CN201380068883 A CN 201380068883A CN 105103269 A CN105103269 A CN 105103269A
Authority
CN
China
Prior art keywords
electrode
substrate
plasma
substrate processing
processing equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380068883.3A
Other languages
English (en)
Other versions
CN105103269B (zh
Inventor
河闰圭
金圣国
金贤悟
朴一英
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jusung Engineering Co Ltd
Original Assignee
JS Lighting Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JS Lighting Co Ltd filed Critical JS Lighting Co Ltd
Priority to CN201810448779.1A priority Critical patent/CN108546930A/zh
Publication of CN105103269A publication Critical patent/CN105103269A/zh
Application granted granted Critical
Publication of CN105103269B publication Critical patent/CN105103269B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

公开了一种基板加工设备,其能防止等离子体放电被转移到基板上,从而使对基板的损伤最小化,并且也最小化了基板上沉积的薄膜质量的退化,其中,该设备可以包括:加工腔室,用于提供反应空间;以及气体分配模块,用于通过使用等离子体来解离工艺气并将解离的工艺气分配到基板上,其中该气体分配模块可包括具有多个电极插入部的下框架、具有多个突起电极和工艺气分配孔的上框架以及具有多个电极贯穿部的绝缘板。

Description

基板加工设备
技术领域
本发明涉及一种基板加工设备,更具体地,涉及一种能促进沉积在基板上的薄膜的沉积均匀性提高的基板加工设备。
背景技术
通常,为了制造太阳能电池、半导体装置和平板显示装置,需要在基板表面形成预定的薄膜层,薄膜电路图案或者光学图案。因此,半导体制造过程可以被执行,比如,在基板上沉积预定材料的薄膜的薄膜沉积过程、通过用光敏材料选择性曝光薄膜的感光过程、通过选择性地去除薄膜曝光部分来形成图案的蚀刻过程。
半导体的制造过程是在设计为宜在最理想情况下使用的基板加工设备里完成的。近来,使用等离子体的基板加工设备被广泛地用于完成沉积或者蚀刻过程。
这种使用等离子体的半导体制造过程可以是用于形成薄膜的PECVD(等离子体增强化学气相沉积)设备,以及用于蚀刻和图案化薄膜的等离子体蚀刻设备。
图1表示根据现有技术的加工基板的设备(基板加工设备)。
参照图1,所述根据现有技术的基板加工设备可包括腔室10、等离子体电极20、承托器30以及气体分配构件40。
所述腔室10提供基板加工的反应空间,在这种情况下,腔室10下表面的预定部分与排气口12连通来从该反应空间排出气体。
等离子体电极20设置在腔室10之上以密封该反应空间。
等离子体电极20的一侧通过电缆与RF(射频)电源24电连接。所述射频电源产生射频电力,并给等离子体电极20提供所产生的射频电力。
等离子体电极20的中央部分与气体供应管26连通来提供用于基板加工的工艺气。
所述承托器30被设置在腔室10内,并支撑从外界载入的多个基板(S)。所述承托器30对应于在等离子体电极20的对面的相对电极,所述承托器30通过用于支撑所述承托器30的支撑轴32来电气接地。在这种情况下,所述支撑轴32被波纹管34围绕来密封所述支撑轴32和腔室10的下表面。
气体分配构件40设置在所述等离子体电极20下方,其中气体分配构件40面对所述承托器30。这种情况下,气体缓冲空间42在气体分配构件40与等离子体电极20之间形成,其中从穿过等离子体电极20的气体供应管26供应的工艺气被提供给气体缓冲空间42。通过将源气与反应气混合来生成工艺气以在基板(S)上形成预定薄膜,并且工艺气被供应给气体缓冲空间42。气体分配构件40通过与气体缓冲空间42连通的多个气体分配孔44将该工艺气均匀地分配到整个反应空间区域。
在根据相关技术的基板加工设备的情况中,在所述基板(S)被装载到承托器30上以后,预定的工艺气被分配到所述腔室10的反应空间,射频电力被提供给等离子体电极20,从而在气体分配构件40与承托器30之间形成等离子体放电(P),藉此被等离子体放电(P)离子化的工艺气分子被沉积到基板(S)上,来在基板(S)上形成预定薄膜。
但是,在根据相关技术的基板加工设备的情况中,用来分配工艺气的空间与形成等离子体放电(P)的空间相同。因此,等离子体放电(P)在基板(S)上发生,因此基板(S)可能被等离子体放电(P)损坏,从而造成基板(S)上的薄膜的质量的退化。同时,在根据相关技术的基板加工设备的情况下,被等离子体放电(P)离子化的工艺气可以在邻近气体分配孔44处沉积,因而可能形成含粉末成分的非正常薄膜,进一步,非正常薄膜颗粒会落在基板(S)上。
发明内容
因此,本发明涉及一种基板加工设备,其能实质性地消除由于相关技术的限制和缺点造成的一个或多个问题。
本发明一方面提供一种基板加工设备,其防止了等离子体放电被传递到基板上,从而最小化对基板的损害,并且也最小化了沉积在基板上的薄膜质量退化。
本发明的其他优点和特点将会在以下描述中予以阐述,部分内容通过阅读以下描述对于本领域技术人员一目了然,而部分内容可以通过实践本发明而习得。本发明的目标和其他优点可以通过在所撰写的说明书和权利要求以及附图中明确示出的结构来实现和取得。
如本文体现和广泛描述,为取得这些和其他优点并根据本发明,提供一种基板加工设备,可以包括:加工腔室,用于提供反应空间;气体分配模块,用于通过等离子体解离工艺气,并将解离的工艺气分配到基板上,其中,所述气体分配模块被设置在所述加工腔室内,其中所述气体分配模块包括:具有多个电极插入部的下框架;上框架,所述上框架具有多个突起电极和形成在所述多个突起电极中的多个工艺气分配孔,所述多个突起电极分别插入到所述多个电极插入部中以提供间隙空间,所述多个工艺气分配孔用于将工艺气分配到基板上;以及形成在上框架和下框架之间的绝缘板,所述绝缘板具有多个电极贯穿部,其中穿过所述电极贯穿部的所述多个突起电极分别插入到所述多个电极插入部中。
此时,所述上框架、所述绝缘板以及所述下框架形成为一个模块。
在所述突起电极与所述电极插入部之间的间距可以小于在所述突起电极的下表面与基板之间的间距。
此外,与所述间隙空间重叠的上框架设置有多个稀释气分配孔,所述稀释气分配孔将用于形成等离子体的稀释气分配到所述间隙空间。
此外,所述上框架电接地,并且,通过所述多个稀释气分配孔分配到所述间隙空间的稀释气和供应到所述下框架的等离子体电力来产生所述等离子体。
所述下框架电接地,并且,通过所述多个稀释气分配孔分配到所述间隙空间的稀释气和供应到所述上框架的等离子体电力来产生所述等离子体。
所述基板和每个所述突起电极的下表面之间的间距与所述基板和所述下框架的下表面之间的间距可以是相同的或不同的。
每个所述电极插入部具有圆形或多边形横截面,每个突起电极的横截面与所述电极插入部的横截面形状相同,每个突起电极被所述电极插入部围绕。
所述多个电极插入部被布置成点阵结构。
所述多个电极插入部在“n”列中的每一列中以固定间距布置,以奇数列和偶数列布置的所述多个电极插入部交错布置。
应理解,前述大体描述和后面的详细描述是示例性和解释性的,意图为所主张的本发明提供进一步解释。
附图说明
图1图示根据相关技术的基板加工设备;
图2图示根据本发明的第一实施例的基板加工设备;
图3是如图2所示的“A”部分的放大图;
图4图示图2所示的气体分配模块;
图5是图示图4所示气体分配模块的分解透视图;
图6图示根据本发明的实施例的等离子体放电单元的第一布置结构;
图7图示根据本发明的实施例的等离子体放电单元的第二布置结构;
图8图示根据本发明的实施例的等离子体放电单元的第三布置结构;
图9图示根据本发明的实施例的等离子体放电单元的第四布置结构;
图10图示根据本发明的实施例的等离子体放电单元的第五布置结构;
图11图示根据本发明第二实施例的基板加工设备;
图12是图示图11所示“B”部分的放大图。
具体实施方式
以下,本发明的实施例会参照附图来进行详细说明。
在对本发明解释的基础上,应理解关于术语的以下细节。
在上下文中没有特别定义的情况下,单数表达应理解为包括复数表达和单数表达。如果使用诸如“第一”或“第二”之类的术语,是为了将任一元件与其他元件分开。因此,权利要求的范围不应受这些术语的限制。
同时,应该理解诸如“包括”或“具有”的术语不排除一个或多个的特征、数字、步骤、操作、元件、部分或者它们的组合的存在和可能。
应该理解,术语“至少一个”包括与任一物项相关的所有组合。比如,“在第一元件、第二元件和第三元件中的至少一个”可包括选自第一、第二和第三元件的两个或两个以上元件的所有组合以及第一、第二和第三元件中的每个元件。
此外,如果提到第一元件设置在第二结构“上或之上或上方”,应该理解为第一元件和第二元件可以相互接触,或者有第三元件可以插入在第一元件和第二元件之间。
在下文中,参照附图来详细描述根据本发明实施例的基板加工设备。
图2图示根据本发明第一实施例的基板加工设备。图3是图示如图2所示的“A”部分的放大图。图4图示图2所示的气体分配模块。图5是图示如图4所示气体分配模块的分解透视图。
参照图2到图5,根据本发明第一实施例的基板加工设备可包括加工腔室110、基板支撑器120、腔室盖130和气体分配模块140。加工腔室110提供基板加工的反应空间。支撑基板(S)的基板支撑器120被设置在加工腔室110内。腔室盖130设置在加工腔室110上方,即,腔室盖130覆盖加工腔室110。气体分配模块140设置有多个等离子体放电单元141,该多个等离子体放电单元与面对基板支撑器120的腔室盖130的下表面可拆卸地组合,其中该多个等离子体放电单元141通过用等离子体来解离工艺气,并将该工艺气分配到基板(S)上。
加工腔室110提供基板加工的反应空间(比如,薄膜沉积过程)。在这种情况下,加工腔室10的底表面和/或侧表面可以与排气口112连通,来排放反应空间的气体。
基板支撑器120被设置在加工腔室110内。基板支撑器120可支撑多个基板(S)或一个大号基板(S)。在这种情况下,所述多个基板(S)的每个的大小可以是一个大号基板的1/4。
基板支撑器120可以电浮置或电接地。基板支撑器120由穿过加工腔室110下表面中心部位的支撑轴122支撑。在这种情况下,从加工腔室110下表面露出的支撑轴122由设置在加工腔室110的下表面中的波纹管124来密封。
基板支撑器120可以根据基板加工的工艺条件而抬升。在这种情况下,基板支撑器120的支撑轴122由驱动装置128的驱动轴126来支撑。相应地,可以通过在驱动装置128的驱动之下提升驱动轴126,来在基板加工的工艺条件范围内使得基板支撑器120的上表面相对靠近或远离气体分配模块140的下表面。如有需要,基板支撑器120可以在驱动装置128的驱动下旋转。
腔室盖130用来覆盖加工腔室110,从而密封反应空间。腔室盖130支撑气体分配模块140。为此,所述腔室盖130具有“┏┓”形横截面,使得气体分配模块140被插入腔室盖130内并且与腔室盖130可拆卸地连接。
在腔室盖130的上表面上,设置有第一气体供应器150和第二气体供应器160以及等离子体电力供应器170,第一气体供应器150和第二气体供应器160分别为在气体分配模块140中制备的所述多个等离子体放电单元141中的每一个供应工艺气(PG)和稀释气(DG),等离子体电力供应器170提供等离子体电力来在多个等离子体放电单元141的每一个中形成等离子体(P)。
第一气体供应器150供应工艺气(PG)到所述多个等离子体放电单元141。比如,所述工艺气(PG)可以是包含硅(Si)、钛族元素(Ti、Zr、Hf等)或铝(Al)的气体。在这种情况下,包含硅(Si)的工艺气(PG)可以是硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、TEOS(正硅酸乙酯)、DCS(二氯硅烷)、HCD(六氯硅烷)、TriDMAS(三(二甲基氨基)硅烷)、TSA(三甲硅烷基氨(Trisilylamine))等。
第二气体供应器160供应稀释气(DG)到所述多个等离子体放电单元141。比如,所述稀释气(DG)可以是氢气(H2)、氮气(N2)、氧气(O2),二氧化氮(NO2)、氨气(NH3)、水(H2O)或臭氧(O3)。在这种情况下,第二气体供应器160可将所述稀释气(DG)与诸如氩气(Ar)、氙气(Ze)或氦气(He)的反应气体混合,然后将该混合气体提供给所述多个等离子体放电单元141。
等离子体电力供应器170产生等离子体电力来为所述多个等离子体放电单元141中的每一个来产生等离子体(P),并将所产生的等离子体电力供应给气体分配模块140。在这种情况下,该等离子体电力可以是高频(HF)电力或者射频(RF)电力,比如,低频(LF)电力、中频(MF)电力、高频(HF)电力或者特高频(VHF)电力。所述LF电力的频率范围为3kHz~300kHz,所述MF电力的频率范围为300kHz~3MHz,所述HF电力的频率范围为3MHz~30MHz,所述VHF电力的频率范围为30MHz~300MHz。
等离子体电力供应器170可包括阻抗匹配电路(未示出),用来将给突起电极(PE)供应的等离子体电力的负载阻抗和源阻抗相匹配。所述阻抗匹配电路可包括至少两个阻抗元件(未示出),该阻抗元件由可变电容器和可变电感器中的至少一者形成。
气体分配模块140与腔室盖130的下表面可拆卸地连接。藉此气体分配模块140面对基板支撑器120。气体分配模块140包括所述多个等离子体放电单元141,所述多个等离子体放电单元基于从第二气体供应器160供应的稀释气(DG)和从等离子体电力供应器170供应的电力而产生等离子体(P),并且将从第一气体供应器150供应的工艺气(PG)分配到用于形成等离子体(P)的等离子体区域,以将被所述等离子体(P)解离的工艺气(PG)分配到基板(S)上。为此,气体分配模块140可包括上框架143、多个稀释气共同供应部件144、绝缘板145、下框架147以及绝缘体149。
上框架143与腔室盖130的下表面130a可拆卸地连接,设置为与腔室盖130的下表面130a相距预定间距。相应地,在上框架143的上表面143a与腔室盖130的下表面130a之间形成工艺气缓冲空间(GBS),其中,从第一气体供应器150通过第一气体供应管152供应的工艺气(PG)在所述工艺气缓冲空间(GBS)中扩散和缓冲。为此,上框架143的上表面143a可以具有与腔室盖130的下表面130a相距预定间距的台阶部。上框架143可以由诸如铝的金属材料形成,藉此上框架143可以通过腔室盖130来电接地。
上框架143可以包括所述多个突起电极(PE)、多个工艺气分配孔(SH1)、多个稀释气供应孔143b以及多个稀释气分配孔(SH2)。
所述多个突起电极(PE)的每一个从上框架143的下表面朝向基板(S)突起,从而每个突起电极(PE)对应于所述多个等离子体放电单元141中的每一个。所述多个突起电极(PE)中的每一个可以具有圆形或多边形的横截面。比如,所述多个突起电极(PE)的每一个可以是圆柱形或诸如方形柱的多边形柱。
为了防止或者最小化在所述多个突起电极(PE)的每一个中的每个隅角处的圆拱,每个隅角以预定曲率圆化。
所述多个工艺气分配孔(SH1)的每一个穿过突起电极(PE),与所述工艺气缓冲空间(GBS)连通。相应地,所述多个工艺气分配孔(SH1)的每一个将供应给工艺气缓冲空间(GBS)的工艺气(PG)朝突起电极(PE)的下表面向下分配。在这种情况下,所分配的工艺气(PG)从突起电极(PE)的下表面广泛地扩散到等离子区。
与所述多个等离子体放电单元141重叠的所述多个稀释气供应孔143b设置在上框架143内,其中所述多个稀释气供应孔143b以固定间距平行设置,相互间插入突起电极(PE)。所述多个稀释气供应孔143b与多个稀释气共同供应部件144连接,藉此,从所述多个稀释气共同供应部件144供应的稀释气(DG)被提供给所述多个稀释气分配孔(SH2)。根据所述等离子体放电单元141的布置结构,所述多个稀释气供应孔143b形成为穿过上框架143的垂直方向的线型形状,然后包括所述多个稀释气供应孔143b的线型形状的两端通过密封过程140a密封,藉此,所述多个稀释气供应孔143b在上框架143中以固定间距设置。
与突起电极(PE)外围对应的穿过上框架143的所述多个稀释气分配孔(SH2)的每一个与所述多个稀释气供应孔143b的每一个连通。在这种情况下,至少两个稀释气分配孔(SH2)形成在所述多个等离子体放电单元141的每一个的突起电极(PE)的两侧的外围。
所述多个稀释气共同供应部件144设置在上框架143的上表面上,与所述多个稀释气供应孔143b共同连通,也与第二气体供应器160的第二气体供应管162连接。为此,所述多个稀释气共同供应部件144可包括多个稀释气细分孔144a、共同块144b和稀释气共同供应管144c。
所述多个稀释气细分孔144a的每一个穿过上框架143,藉此,多个稀释气细分孔144a的每一个与每个稀释气供应孔143b的两侧连通。
所述共同块144b设置在上框架143的上表面上,跨过每个稀释气供应孔143b两侧,由此密封所述多个稀释气细分孔144a。共同块144b设有多个连通孔,与每个稀释气细分孔144连通。
稀释气共同供应管144c与共同块144b连接,同时设置为与共同块144b纵向平行。并且稀释气共同供应管144c与第二气体供应器160的第二气体供应管162连接。在稀释气共同供应管144c的下表面上,可以存在多个下方孔与在共同块144b中形成的多个连通孔连通。与第二气体供应管162连接的至少一个上方孔可以形成在稀释气共同供应管144的上表面上。稀释气(DG)从第二气体供应器160通过第二气体供应管162供应到稀释气共同供应管144c,然后稀释气共同供应管144c通过共同块144b的多个连通孔将稀释气(DG)供应到所述多个稀释气供应孔143b,藉此,通过所述多个稀释气供应孔143b和所述多个稀释气分配孔(SH2),稀释气(DG)被分配到所述多个等离子体放电单元141的每一个的突起电极(PE)两侧的外围上。
绝缘板145与上框架143的下表面可拆卸地连接,从而覆盖除了所述多个突起电极(PE)和所述多个稀释气分配孔(SH2)之外的其余下表面区域。在这种情况下,绝缘板145设置有多个电极贯穿部145a。所述多个突起电极(PE)从上框架143的下表面突起,分别插入到所述多个电极贯穿部145a,然后穿过所述多个电极贯穿部145a。每一个电极贯穿部145a,形成为圆形或者多边形形状,和所述突起电极(PE)形状相同,围绕所述突起电极(PE)。绝缘板145由绝缘材料形成,比如陶瓷材料,从而使上框架143和下框架147彼此电绝缘。
下框架147设置有多个电极插入部(EIP),供穿过绝缘板145的电极贯穿部145a的多个突起电极(PE)插入,并且下框架147与绝缘板145的下表面可拆卸地连接。在这种情况下,所述多个电极插入部(EIP)形成在下框架147中,供穿过绝缘板145的电极贯穿部145a的所述多个突起电极(PE)插入,并且每个所述电极插入部(EIP)形成为圆形或者多边形形状,和突起电极(PE)形状相同,从而围绕每个所述突起电极(PE)的侧表面。
每个所述电极插入部(EIP)的内侧表面设置为与所述突起电极(PE)的外侧表面相距预定间距,藉此在两者之间形成预定间隙空间(GS)。因此,所述电极插入部(EIP)面对每个所述突起电极(PE)的侧表面,起到接地电极的功能。在每个所述电极插入部(EIP)的内侧表面与所述突起电极(PE)的外侧表面之间形成的预定间隙空间(GS)中,存在所述多个稀释气分配孔(SH2)以供稀释气(DG)分配给间隙空间(GS)。
与上框架143电绝缘的下框架147插入到穿过绝缘板145的等离子体电力供应部件(未示出)中并与该等离子体电力供应部件电连接。相应地,前述等离子体电力从等离子体电力供应器170,通过等离子体电力供应部件和等离子体电缆172供应到下框架147。
下框架147的电极插入部(EIP)、插入到电极插入部(EIP)中的突起电极(PE)和间隙空间(GS)构成一个等离子体放电单元141。因此,所述多个等离子体放电单元141设置在下框架147的下表面上,根据所述多个电极插入部(EIP)的布置结构布置成“之”字形的点阵,其中所述多个等离子体放电单元141面对基板(S)的上表面。对于每个等离子体放电单元141,通过供应到在电极插入部(EIP)的内侧表面与突起电极(PE)的外侧表面之间的间隙空间(GS)的稀释气(DG)以及供应到下框架147的等离子体电力,在间隙空间(GS)或者突起电极(PE)的下部的外围产生等离子体(P),工艺气(PG)通过突起电极(PE)的工艺气分配孔(SH1)分配到用于形成等离子体(P)的等离子体区域,工艺气(PG)被等离子体(P)解离,然后向下分配到基板(S)上,来形成预定薄膜层。即,通过在间隙空间(GS)中发生的等离子体(P)在所述多个等离子体放电单元141中产生解离气体自由基,然后所述解离气体自由基被分配到基板(S)上,藉此所述解离气体自由基与通过突起电极(PE)的工艺气分配孔(SH1)分配的工艺气(PG)相遇,从而解离所述工艺气(PG)。相应地,解离的工艺气(PG)和解离气体自由基向下分配到基板(S)上并且在基板(S)上化学组合,从而在基板(S)的上表面上形成薄膜。
在下框架147的下表面与基板(S)的上表面之间的第一距离(D1)可以与突起电极(PE)的下表面与基板(S)上表面之间的第二距离(D2)相同或不同。
根据本发明的一个实施例,第一距离(D1)可以与第二距离(D2)相同。在这种情况下,突起电极(PE)的下表面和下框架147的下表面可以被设置在同一水平面上。
根据本发明的另一个实施例,第一距离(D1)可以与第二距离(D2)不同。在这种情况下,突起电极(PE)可以具有比绝缘板145和下框架147的总厚度大的长度,从而突起电极(PE)朝向基板(S)的上表面突出到下框架147的下表面之外,或者可以具有比绝缘板145和下框架147的总厚度小的长度,从而突起电极(PE)朝向基板(S)的上表面但没有突出到下框架147的下表面之外。
根据本发明的另一个实施例,第一距离(D1)和第二距离(D2)可以在每个等离子体放电单元141中以不同方式设置。即,如果将等离子电力供应给所述多个等离子体放电单元141的每一个,可以为每个等离子体放电单元141均匀地维持等离子体(P)。但是,由于等离子体电力供应器复杂的结构,将等离子体电力供应给前述的下框架147的至少四个接触位置。因此,提供给所述多个等离子体放电单元141的每一个供应的等离子体电力可以是不均匀的。为了最小化这个问题,在每个等离子体放电单元141中形成的突起电极(PE)的长度可以在每个区域以不同方式设置,使得可以在每个等离子体放电单元141中以不同方式设置第一距离(D1)和第二距离(D2)。
可以根据在突起电极(PE)与下框架147的下表面之间的等离子体区域和工艺气(PG)的沉积性质来设置第一距离(D1)和第二距离(D2)。即,如图3所示,根据本发明的一个实施例,下框架147的下表面与突起电极(PE)之间的距离小于下框架147的下表面与基板(S)之间的距离(D1),从而可以克服异常等离子体放电引发的问题。如果在下框架147的下表面与基板(S)之间的距离(D1)小于在下框架147的下表面与突起电极(PE)之间的距离,可能在下框架147的下表面与支撑基板(S)的基板支撑器120之间发生非正常等离子体放电,藉此,会对基板(S)带来不良影响。
绝缘体149与下框架147的每个侧表面和下部边缘部分连接,同时也与腔室盖130的内侧面可拆卸地连接。绝缘体149由绝缘材料制成,比如,陶瓷材料。即,绝缘体149使腔室盖130与下框架147彼此电绝缘。
前述的上框架143、绝缘板145和下框架147形成为一个模块,与腔室盖130的下表面可拆卸地连接。
使用根据本发明的第一实施例的基板加工设备的基板加工方法会在下文中详细描述。
首先,将多个基板(S)或者一个大号基板(S)载入并放置在支撑器120上。
然后,通过使用第二气体供应器160将稀释气(DG)供应到所述多个等离子体放电单元141的每一个的间隙空间(GS)。同时,通过使用等离子体电力供应器160将等离子电力供应给下框架147。相应地,在所述多个等离子体放电单元141的每一个的间隙空间(GS)或下部空间中形成电场,藉此,形成所述等离子体(P)。
在形成等离子体(P)的同时,通过第一气体供应器150将工艺气(PG)供应给所述多个等离子体放电单元141的每一个的突起电极(PE)的工艺气分配孔(SH1),藉此,将工艺气(PG)分配到基板(S)上。相应地,被分配到基板(S)上的所述工艺气(PG)被等离子体(P)解离,藉此,被解离的工艺气(PG)与稀释气(DG)通过等离子体(P)产生的自由基化学结合,然后被沉积到基板(S)的上表面上,藉此形成预定的薄膜层。
在根据本发明的第一实施例的基板加工设备和方法中,通过在下框架147内以预定配置布置的多个等离子体放电单元141的每一个中发生的等离子体(P),工艺气(PG)被解离,然后被分配到所述基板(S)上,从而可以在基板(S)的整个上表面上形成均匀厚度的薄膜。另外,可以防止等离子体放电传递到所述基板(S)上,藉此来最小化对基板(S)和形成在基板(S)上的薄膜的损害并最小化薄膜品质的退化。更进一步地,工艺气(PG)和稀释气(DG)彼此分离,从而最小化在电极插入部(EIP)和突起电极(PE)的内壁上的异常薄膜的形成。
图6至图10图示了根据本发明的实施例的多种形状的等离子体放电单元。
参照图6至图10并结合图2,根据本发明的实施例的多种形状的等离子体放电单元会在下文中详细描述。
首先,如图6或图7所示,面对基板(S)上表面的多个等离子体放电单元141可在下框架147内设置成“n×m”点阵结构。等离子体放电单元141的每一个可包括突起电极(PE)和电极插入部(EIP),突起电极(PE)突出到上框架143的下表面之外并具有圆形或方形的横截面,电极插入部(EIP)的横截面的形状与突起电极(PE)的横截面的形状相同,其中电极插入部(EIP)形成在下框架147中,并设置成围绕突起电极(PE),在电极插入部(EIP)与突起电极(PE)之间形成间隙空间(GS)。即,多个电极插入部(EIP)和分别插入到电极插入部(EIP)的多个突起电极(PE)被设置成“n×m”点阵结构。前述工艺气分配孔(SH1)形成在所述多个等离子体放电单元141的每一个的每个突起电极(PE)的中心,前述稀释气分配孔(SH2)形成在所述多个等离子体放电单元141的每一个的间隙空间(GS)中。比如,两个稀释气分配孔(SH2)形成在相对于工艺气分配孔(SH1)的突起电极(PE)的两个间隙空间(GS)的每一个中。
如图8、图9或图10所示,面对基板(S)上表面的所述多个等离子体放电单元141可以在下框架147内设置成“之”字形或蜂窝结构。等离子体放电单元141的每一个可以包括突起电极(PE)和电极插入部(EIP),突起电极(PE)突出到上框架143的下表面之外,并具有圆形,菱形或者六角形的横截面,电极插入部(EIP)的横截面的形状与突起电极(PE)的横截面的形状相同,其中电极插入部(EIP)形成在下框架147中,并设置成围绕突起电极(PE),在电极插入部(EIP)与突起电极(PE)之间形成间隙空间。即,供所述多个突起电极(PE)插入的所述多个电极插入部(EIP)在“n”个列的每一列中以固定间隔布置,其中以奇数列(Co)和偶数列(Ce)布置的所述多个电极插入部(EIP)交错布置。前述工艺气分配孔(SH1)形成在所述多个等离子体放电单元141的每一个的每个突起电极(PE)的中心中。所述多个稀释气分配孔(SH2)形成在所述多个等离子体放电单元141的每一个的间隙空间(GS)中。比如,两个稀释气分配孔(SH2)形成在相对于工艺气分配孔(SH1)的突起电极(PE)的两个间隙空间(GS)的每一个中。
在所述多个等离子体放电单元141中的每一个中,优选地,在每个相邻的电极插入部(EIP)之间的间距被最小化。在附图中,两个或三个稀释气分配孔(SH2)形成在相对于工艺气分配孔(SH1)的突起电极(PE)的两个间隙空间(GS)的每一个中,但是不限于此结构。考虑到电极插入部(EIP)的形状和大小以及间隙空间(GS)的宽度,两个或两个以上个稀释气分配孔(SH2)可以形成在多个等离子体放电单元141的每一个的间隙空间(GS)中。
图11图示了根据本发明第二实施例的基板加工设备。图12是图示图11所示“B”部分的放大图。
参照图11和图12,根据本发明第二实施例的基板加工设备可包括加工腔室110、基板支撑器120、腔室盖130和气体分配模块140。气体分配模块140可以包括上框架243、多个稀释气共同供应部件144、绝缘板245、下框架247和绝缘框架249。在根据本发明第二实施例的基板加工设备中,等离子体电力被施加给气体分配模块140的上框架243,下框架247电接地。在下文中,只有根据本发明第二实施例的基板加工设备里不同的结构会在下文中详细描述。
首先,上框架243与腔室盖130的下表面130a可拆卸地连接,设置成与腔室盖130的下表面130a相距预定间距。相应地,在上框架243的上表面143a与腔室盖130的下表面130a之间形成工艺气缓冲空间(GBS)。从第一气体供应器150通过第一气体供应管152供应的工艺气(PG)在工艺气缓冲空间(GBS)中扩散并缓冲。为此,上框架243的上表面143a可以具有与腔室盖130的下表面130a相距预定间距的台阶部。上框架243可以由金属材料形成,比如铝(Al),藉此,上框架243可以通过绝缘框架249与腔室盖130电绝缘。
上框架143可以包括多个突起电极(PE)、多个工艺气分配孔(SH1)、多个稀释气供应孔143b以及多个稀释气分配孔(SH2)。上框架243与图2和图3所示的上框架143相似,不同之处是在图2和图3所示的上框架143中包括的多个突起电极(PE)被用作施加以等离子体电力的等离子体电极,藉此,对上框架243的详细说明将被省略。
绝缘板245与上框架243的下表面可拆卸地连接,从而覆盖除了所述多个突起电极(PE)和所述多个稀释气分配孔(SH2)以外的上框架243的其余下表面区域。在这种情况下,绝缘板245设置有多个电极贯穿部145a。从上框架243的下表面突出的多个突起电极(PE)分别插入到多个电极贯穿部145a中,然后穿过所述多个电极贯穿部145a。电极贯穿部145a的每一个,形成为圆形或多边形,与突起电极(PE)形状相同,围绕突起电极(PE)。绝缘板245由绝缘材料形成,比如,陶瓷材料,藉此来使上框架243和下框架247彼此电绝缘。
下框架247设置有多个电极插入部(EIP),多个突起电极(PE)穿过绝缘板245的电极贯穿部145a插入电极插入部(EIP)。下框架247与绝缘板245的下表面可拆卸地连接。除了下框架247与加工腔室110电接地以外,下框架247与图2和图3所示的下框架147相同,藉此,对下框架247详细的说明由前述下框架147的说明来代替。
同时,通过电极插入部(EIP)和突起电极(PE)的组合在下框架247内设置的多个等离子体放电单元141可设置成图6到图10所示的任何结构。
绝缘框架249与下框架247的上边缘和每个侧面相连接,并与腔室盖130的下表面和内侧可拆卸地连接。绝缘框架249由绝缘材料形成,比如,陶瓷材料,藉此来使腔室盖130和下框架247彼此电绝缘。
前述上框架243、绝缘板245和下框架247形成为一个模块,与腔室盖130的下表面可拆卸地连接。
除了上框架243被用作等离子体电极和下框架247被用作接地电极之外,使用根据本发明第二实施例的基板加工设备来在基板(S)上形成薄膜的基板加工方法和使用根据本发明第一实施例的基板加工设备来在基板(S)上形成薄膜的基板加工方法相同。藉此,使用根据本发明第二实施例的基板加工设备的基板加工方法的说明会被省略。
在根据本发明第二实施例的基板加工设备和方法中,通过在下框架247内以预定结构布置的多个等离子体放电单元141的每一个中发生的等离子体(P),工艺气(PG)被解离,并分配到基板(S)上,从而可以达到与在本发明第一实施例中相同的技术效果。用作等离子体电极的上框架243和基板(S)之间的距离变大,藉此,可以最小化基板(S)和用作等离子体电极的上框架243之间的相互影响,藉此提高在基板(S)上形成的薄膜的质量。
在根据本发明第二实施例的基板加工设备和方法中,通过在下框架247中以预定结构布置的多个等离子体放电单元141的每一个中发生的等离子体(P),工艺气(PG)被解离,并被分配到基板(S)上,从而在基板(S)的整个上表面上形成均匀厚度的薄膜。
此外,可以防止等离子体放电传递到基板(S),藉此来最小化对基板(S)和形成在基板(S)上的薄膜的损害并最小化薄膜品质的退化。更进一步地,工艺气(PG)和稀释气(DG)彼此分离,从而可以最小化在气体分配模块的内壁上的异常薄膜,即在电极插入部(EIP)和突起电极(PE)内壁上的异常薄膜,藉此延长气体分配模块的清洁周期。
在不偏离本发明精神或范围的情况下,本领域技术人员显然可以进行修改和变型。本发明的修改和变型被包括在所附权利要求和其等同物的范围内。

Claims (10)

1.一种基板加工设备,包括:
加工腔室,用于提供反应空间;以及
气体分配模块,用于通过使用等离子体来解离工艺气并将解离的工艺气分配到基板上,其中所述气体分配模块被设置在所述加工腔室中,
其中,所述气体分配模块包括;
下框架,具有多个电极插入部;
上框架,具有多个突起电极和多个工艺气分配孔,所述多个突起电极分别插入到所述多个电极插入部中以提供间隙空间,并且所述多个工艺气分配孔形成在所述多个突起电极中以将所述工艺气分配到所述基板上;以及
绝缘板,所述绝缘板形成于所述下框架与所述上框架之间并具有多个电极贯穿部,其中穿过所述电极贯穿部的所述多个突起电极分别被插入到所述多个电极插入部中。
2.如权利要求1所述的基板加工设备,其中,所述上框架、所述绝缘板和所述下框架形成为一个模块。
3.如权利要求1所述的基板加工设备,其中,在所述突起电极与所述电极插入部之间的间距小于在所述突起电极的下表面与所述基板之间的间距。
4.如权利要求1所述的基板加工设备,其中,与所述间隙空间重叠的所述上框架设置有多个稀释气分配孔,所述多个稀释气分配孔将用于形成所述等离子体的稀释气分配到所述间隙空间。
5.如权利要求4所述的基板加工设备,其中,所述上框架电接地,并且,所述等离子体由通过所述多个稀释气分配孔分配到所述间隙空间的所述稀释气和提供到所述下框架的等离子体电力来形成。
6.如权利要求4所述的基板加工设备,其中,所述下框架电接地,并且,所述等离子体由通过所述多个稀释气分配孔分配到所述间隙空间的稀释气和提供到所述上框架的等离子体电力来形成。
7.如权利要求1所述的基板加工设备,其中,在所述基板和所述突起电极的每一个的下表面之间的间距与在所述基板和所述下框架的下表面之间的间距相同或不同。
8.如权利要求1至7中任一项所述的基板加工设备,其中,所述电极插入部的每一个具有圆形或多边形横截面,每个突起电极的横截面的形状与所述电极插入部的横截面的形状相同,每一个突起电极由所述电极插入部围绕。
9.如权利要求8所述的基板加工设备,其中,所述多个电极插入部被布置成点阵结构。
10.如权利要求8所述的基板加工设备,其中,所述多个电极插入部在“n”个列中的每一列中以固定间距布置,以奇数列和偶数列布置的所述多个电极插入部交错布置。
CN201380068883.3A 2012-12-27 2013-12-26 基板加工设备 Active CN105103269B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810448779.1A CN108546930A (zh) 2012-12-27 2013-12-26 基板加工设备

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020120154918A KR102061749B1 (ko) 2012-12-27 2012-12-27 기판 처리 장치
KR10-2012-0154918 2012-12-27
PCT/KR2013/012194 WO2014104751A1 (ko) 2012-12-27 2013-12-26 기판 처리 장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810448779.1A Division CN108546930A (zh) 2012-12-27 2013-12-26 基板加工设备

Publications (2)

Publication Number Publication Date
CN105103269A true CN105103269A (zh) 2015-11-25
CN105103269B CN105103269B (zh) 2018-06-05

Family

ID=51021707

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380068883.3A Active CN105103269B (zh) 2012-12-27 2013-12-26 基板加工设备
CN201810448779.1A Pending CN108546930A (zh) 2012-12-27 2013-12-26 基板加工设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201810448779.1A Pending CN108546930A (zh) 2012-12-27 2013-12-26 基板加工设备

Country Status (5)

Country Link
US (1) US11075060B2 (zh)
KR (1) KR102061749B1 (zh)
CN (2) CN105103269B (zh)
TW (1) TWI595114B (zh)
WO (1) WO2014104751A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107393800A (zh) * 2016-05-31 2017-11-24 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN108293292A (zh) * 2016-03-30 2018-07-17 东京毅力科创株式会社 等离子电极以及等离子处理装置
CN110678573A (zh) * 2017-01-16 2020-01-10 持续能源解决有限公司 用于防止在直接接触式热交换器中的凝华作用的方法及装置
CN111902905A (zh) * 2018-01-29 2020-11-06 周星工程股份有限公司 基板处理设备
CN112005336A (zh) * 2018-04-20 2020-11-27 周星工程股份有限公司 基板处理设备
WO2021175089A1 (zh) * 2020-03-06 2021-09-10 北京北方华创微电子装备有限公司 反应腔室

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102302922B1 (ko) * 2014-12-08 2021-09-17 주성엔지니어링(주) 기판처리장치
EP3136419B1 (en) * 2015-08-31 2018-04-18 Total S.A. Plasma generating apparatus and method of manufacturing patterned devices using spatially resolved plasma processing
KR102513742B1 (ko) * 2015-11-04 2023-03-27 주성엔지니어링(주) 기판 식각장치
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
US10354844B2 (en) * 2017-05-12 2019-07-16 Asm Ip Holding B.V. Insulator structure for avoiding abnormal electrical discharge and plasma concentration
KR102516778B1 (ko) * 2018-02-08 2023-04-03 주성엔지니어링(주) 챔버 세정 장치 및 챔버 세정 방법
KR102146793B1 (ko) * 2018-03-19 2020-08-21 주식회사 무한 기판 처리 장치
WO2019203603A1 (ko) * 2018-04-20 2019-10-24 주성엔지니어링(주) 기판처리장치
KR102661733B1 (ko) 2018-07-31 2024-04-29 주성엔지니어링(주) 복수의 플라즈마를 이용한 기판처리장치
KR102396366B1 (ko) * 2018-08-13 2022-05-10 주성엔지니어링(주) 기판처리장치
KR20210003620A (ko) * 2019-07-02 2021-01-12 주성엔지니어링(주) 기판처리장치
KR20210042653A (ko) * 2019-10-10 2021-04-20 주성엔지니어링(주) 기판 처리 장치
US20220028663A1 (en) * 2020-07-23 2022-01-27 Applied Materials, Inc. Plasma source for semiconductor processing
US20230386796A1 (en) * 2020-10-22 2023-11-30 Jusung Engineering Co., Ltd. Substrate treatment apparatus
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1806317A (zh) * 2004-06-04 2006-07-19 东京毅力科创株式会社 气体处理装置和成膜装置
JP2010153531A (ja) * 2008-12-25 2010-07-08 Hitachi Kokusai Electric Inc 半導体製造装置
US20110039402A1 (en) * 2009-08-07 2011-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
KR20110104847A (ko) * 2010-03-17 2011-09-23 최대규 용량 결합 플라즈마 반응기

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3332857B2 (ja) * 1998-04-15 2002-10-07 三菱重工業株式会社 高周波プラズマ発生装置及び給電方法
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4601104B2 (ja) * 1999-12-20 2010-12-22 キヤノンアネルバ株式会社 プラズマ処理装置
JP4292002B2 (ja) * 2002-12-18 2009-07-08 株式会社日立国際電気 プラズマ処理装置
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
KR100734774B1 (ko) * 2005-08-19 2007-07-04 주식회사 아이피에스 진공챔버의 구조
KR100672256B1 (ko) * 2005-12-08 2007-01-24 주식회사 탑 엔지니어링 박막 패턴 성형 장치 및 그 성형 방법
KR100752525B1 (ko) * 2006-03-02 2007-08-29 주식회사 아토 파워가 인가되는 가스분리형 샤워헤드
KR100646017B1 (ko) * 2006-01-19 2006-11-15 주식회사 아토 가스 분리형의 다수의 공동 전극을 이용한 샤워헤드
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
KR100979189B1 (ko) * 2007-12-20 2010-08-31 다이나믹솔라디자인 주식회사 연속 기판 처리 시스템
JP2012124186A (ja) * 2009-03-31 2012-06-28 Sanyo Electric Co Ltd プラズマ処理装置及びそれよって製造される太陽電池の製造方法
TWI556309B (zh) * 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
KR101612741B1 (ko) * 2010-03-08 2016-04-18 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
JP5476269B2 (ja) * 2010-09-29 2014-04-23 東京エレクトロン株式会社 成膜方法及び成膜装置
KR101765323B1 (ko) * 2012-10-17 2017-08-04 한국과학기술원 플라즈마 발생 장치 및 기판 처리 장치
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1806317A (zh) * 2004-06-04 2006-07-19 东京毅力科创株式会社 气体处理装置和成膜装置
JP2010153531A (ja) * 2008-12-25 2010-07-08 Hitachi Kokusai Electric Inc 半導体製造装置
US20110039402A1 (en) * 2009-08-07 2011-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
KR20110104847A (ko) * 2010-03-17 2011-09-23 최대규 용량 결합 플라즈마 반응기

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108293292A (zh) * 2016-03-30 2018-07-17 东京毅力科创株式会社 等离子电极以及等离子处理装置
CN107393800A (zh) * 2016-05-31 2017-11-24 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
US10153132B2 (en) 2016-05-31 2018-12-11 Kokusai Electric Corporation Substrate processing apparatus
CN107393800B (zh) * 2016-05-31 2019-03-08 株式会社国际电气 半导体器件的制造方法及衬底处理装置
CN110678573A (zh) * 2017-01-16 2020-01-10 持续能源解决有限公司 用于防止在直接接触式热交换器中的凝华作用的方法及装置
CN111902905A (zh) * 2018-01-29 2020-11-06 周星工程股份有限公司 基板处理设备
CN112005336A (zh) * 2018-04-20 2020-11-27 周星工程股份有限公司 基板处理设备
WO2021175089A1 (zh) * 2020-03-06 2021-09-10 北京北方华创微电子装备有限公司 反应腔室
US11773505B2 (en) 2020-03-06 2023-10-03 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction chamber

Also Published As

Publication number Publication date
US20150303037A1 (en) 2015-10-22
TW201437424A (zh) 2014-10-01
WO2014104751A1 (ko) 2014-07-03
US11075060B2 (en) 2021-07-27
CN105103269B (zh) 2018-06-05
KR20140084906A (ko) 2014-07-07
KR102061749B1 (ko) 2020-01-02
CN108546930A (zh) 2018-09-18
TWI595114B (zh) 2017-08-11

Similar Documents

Publication Publication Date Title
CN105103269A (zh) 基板加工设备
CN104395987B (zh) 基板加工装置及方法
KR101397162B1 (ko) 기판 처리 장치 및 기판 처리 방법
CN105453224A (zh) 基板处理设备
KR20130073777A (ko) 기판 처리 장치 및 기판 처리 방법
KR101690971B1 (ko) 기판 처리 장치
KR20130081369A (ko) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
KR102058912B1 (ko) 기판 처리 장치
US11488803B2 (en) Substrate processing apparatus
KR101929405B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101830322B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102067037B1 (ko) 플라즈마 처리 장치
KR20170131318A (ko) 기판 처리 장치
KR20140134246A (ko) 기판 처리 장치 및 기판 처리 방법
KR20140032466A (ko) 기판 처리 장치
KR102661733B1 (ko) 복수의 플라즈마를 이용한 기판처리장치
KR101946312B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102361069B1 (ko) 기판 처리 장치
KR102362305B1 (ko) 기판 처리 장치
KR102254808B1 (ko) 기판 처리 장치
KR102053303B1 (ko) 기판 처리 장치
KR102143146B1 (ko) 기판 처리 장치
KR102018183B1 (ko) 기판 처리 장치
KR20130141409A (ko) 기판 처리 장치 및 기판 처리 방법
KR102146793B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210512

Address after: Han Guojingjidao

Patentee after: JUSUNG ENGINEERING Co.,Ltd.

Address before: Han Guojingjidao

Patentee before: MOOHAN Co.,Ltd.