CN104465291B - 控制衬底的直流偏置及离子能量和角分布的方法及设备 - Google Patents

控制衬底的直流偏置及离子能量和角分布的方法及设备 Download PDF

Info

Publication number
CN104465291B
CN104465291B CN201410482629.4A CN201410482629A CN104465291B CN 104465291 B CN104465291 B CN 104465291B CN 201410482629 A CN201410482629 A CN 201410482629A CN 104465291 B CN104465291 B CN 104465291B
Authority
CN
China
Prior art keywords
ion
substrate
plasma
during
radio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410482629.4A
Other languages
English (en)
Other versions
CN104465291A (zh
Inventor
斯拉瓦纳普利安·斯利拉曼
亚历山大·帕特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104465291A publication Critical patent/CN104465291A/zh
Application granted granted Critical
Publication of CN104465291B publication Critical patent/CN104465291B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及控制衬底的直流偏置及离子能量和角分布的方法及设备,具体而言,在通往偏置电极的射频(RF)功率传输路径内提供可变电容器,除阻抗匹配电路外,还有所述可变电容器也被提供在通往所述偏置电极的所述射频功率传输路径内。在脉冲模式中操作射频电源以通过所述射频功率传输路径发射射频功率脉冲到所述偏置电极。所述可变电容器的电容被设置用于在每个射频功率脉冲期间控制在所述偏置电极上方存在的衬底上形成直流偏置电压的速率。在所述衬底上形成所述直流偏置电压的速率控制暴露于从所述衬底发出的电磁场的等离子体内的离子能量分布和离子角分布。

Description

控制衬底的直流偏置及离子能量和角分布的方法及设备
技术领域
本发明涉及半导体处理领域,更具体地涉及控制衬底的直流偏置及离子能量和角分布的方法及设备。
背景技术
在例如,集成电路、存储单元等半导体器件的制造中,进行一系列制造操作以限定例如半导体晶片之类的衬底上的特征。例如,通过限定在硅衬底上的多水平结构来形成集成电路。在衬底水平处,形成具有扩散区和栅极导体的晶体管器件。在衬底水平上方的较高的水平处,互连的金属化线被图案化并且电气连接到晶体管器件上以限定期望的集成电路器件。另外,图案化的导电结构/层通过介电材料与其他导电结构/层绝缘。
作为半导体器件制造过程的一部分,等离子体蚀刻过程通常用于去除此前沉积在衬底上的选定材料,以便图案化、成形或者说是操作衬底上的材料成为形成集成电路器件的一部分所需的结构。等离子体蚀刻过程涉及:产生等离子体,包括例如自由基和离子之类的反应成分;以及使衬底以受控的方式暴露于等离子体的自由基和离子。正是在这种背景下提出本发明。
发明内容
在一个实施方式中,公开了一种用于在衬底的等离子体处理期间控制离子的方法。该方法包括在通往偏置电极的射频(RF)功率传输路径内提供可变电容器。除阻抗匹配电路外,还有可变电容器也被提供在通往偏置电极的射频功率传输路径内。所述方法还包括以脉冲模式操作射频电源以通过射频功率传输路径发射射频功率脉冲到偏置电极。所述方法还包括设置可变电容器的电容,从而在每个射频功率脉冲期间控制在偏置电极上方存在的衬底上形成直流(DC)偏置电压的速率,由此在衬底上形成直流偏置电压的速率控制在暴露于从衬底发出的电磁场的等离子体内的离子能量分布和离子角分布。
在一个实施方式中,公开了一种用于在衬底的等离子体处理期间控制离子的系统。所述系统包括卡盘,所述卡盘被限定成用于支撑暴露于等离子体的衬底。所述系统还包括嵌入所述卡盘内的偏置电极。所述系统还包括射频电源,所述射频电源被限定成用于以脉冲模式操作以通过射频功率传输路径发射射频功率脉冲到所述偏置电极。所述系统还包括阻抗匹配电路,所述阻抗匹配电路电气连接在所述射频电源与所述偏置电极之间的所述射频功率传输路径内。所述系统还包括电气连接在所述射频功率传输路径内的可变电容器。所述可变电容器被限定成用于在每个射频功率脉冲期间控制在所述衬底上形成直流偏置电压的速率,由此在所述衬底上形成所述直流偏置电压的速率控制在暴露于从所述衬底发出的电磁场的所述等离子体内的离子能量分布和离子角分布。
结合附图,从以示例方式说明本发明的以下详细描述会更明白本发明的其他方面和优点。
附图说明
图1A示出了根据本发明的一个实施方式的用于蚀刻操作的等离子体处理系统的架构视图。
图1B示出了根据本发明的一个实施方式的等离子体处理系统的设计图。
图2示出了根据本发明的一个实施方式的偏置射频电源电路的电气示意图。
图3A示出了根据本发明的一个实施方式的在不同频率的连续波偏置射频功率的等离子体(例如,等离子体)的离子能量分布的曲线图。
图3B示出了根据本发明的一个实施方式的对于不同电容的隔直流电容器BC在连续波偏置射频电源开始期间在衬底上产生的直流偏置电压作为时间的函数的曲线图。
图3C示出了根据本发明的一个实施方式的针对在10MHz频率的稳态连续波偏置射频电源期间不同电容设置的隔直流电容器BC的等离子体中的离子能量分布的曲线图。
图4A、图5A和图6A示出了根据本发明的一个实施方式的在脉冲电压射频电源模式期间针对隔直流电容器BC的不同电容设置在衬底上形成的直流偏置电压和对应等离子体电位的曲线图。
图4B、图5B和图6B分别示出了根据本发明的一个实施方式的与图4A、图5A和图6A的脉冲偏置射频功率操作对应的离子能量分布。
图4C、图5C和图6C分别示出了根据本发明的一个实施方式的与图4B、图5B和图6B的离子能量分布函数对应的离子角分布函数。
图7A、图8A和图9A分别示出了根据本发明的一个实施方式的在脉冲偏置射频电源模式中对于单个脉冲周期在衬底上形成的电子密度、等离子体电位(V)和直流偏置电压的曲线图,隔直流电容器BC的电容值分别为1nF、500nF和1000nF。
图7B、图8B和图9B分别示出了根据本发明的一个实施方式的与图7A、图8A和图9A所示的脉冲偏置射频功率操作对应的等离子体内的离子能量分布函数。
图7C、图8C和图9C分别示出了根据本发明的一个实施方式的与图7B、图8B和图9B的离子能量分布函数对应的离子角分布函数。
图10A示出了根据本发明的一个实施方式的针对2MHz射频频率的连续波偏置射频功率应用的离子能量分布函数。
图10B示出了根据本发明的一个实施方式的与图10A的离子能量分布函数对应的离子角分布函数。
图11示出了根据本发明的一个实施方式的在脉冲偏置射频电源模式中不同占空比(D.C.)的离子能量分布函数的多条曲线以及连续波偏置射频电源模式的离子能量分布函数的曲线。
图12示出了根据本发明的一个实施方式的用于在衬底的等离子体处理期间控制离子的方法的流程图。
具体实施方式
在以下描述中,阐述了诸多具体细节以便提供对本发明的透彻理解。但是,对于本领域的技术人员显而易见,在没有这些具体细节的某些或全部的情况下可以实施本发明。在其他实例中,未详细描述公知的方法操作以便不会不必要地模糊本发明。
图1A示出了根据本发明的一个实施方式的用于蚀刻操作的等离子体处理系统100的架构视图。图1B示出了根据本发明的一个实施方式的等离子体处理系统100的设计图。该系统包括室101,该室101包括卡盘103和介电窗107。卡盘103被限定为在等离子体处理操作期间支撑衬底105。本文中提到的衬底代表(但不限于)在半导体器件制造期间存在的半导体晶片、硬盘驱动器盘、光盘、玻璃衬底、平板显示器表面、液晶显示器表面以及主要的任意其他类型的衬底。在一个实施方式中,卡盘103是用于支撑并固定衬底105的静电卡盘。在另一个实施方式中,卡盘103被限定成通过物理约束来固定衬底105。卡盘103包括一个或多个偏置电极104(以下称偏置电极104),所述偏置电极104被连接上以通过偏置匹配电路113和离子能量及角分布函数(IEADF)控制电路115来接收来自偏置射频电源111的射频(RF)功率。偏置射频电源111连接在参考地电位117与偏置匹配电路113之间,如电气连接件119A和119B所示。偏置匹配电路113电气连接到IEADF控制电路115上,该控制电路115继而电气连接到偏置电极104上,如电气连接119C所示。
在卡盘103被限定为静电卡盘的一个实施方式中,卡盘103包括钳位电极(未示出)以允许卡紧和松开衬底105。另外,在此实施方式中,设置滤波器和直流(DC)钳位电源以通过钳位电极实现静电夹紧衬底105到卡盘103。另外,卡盘103可以包括其他控制系统,例如升降销等,以接收衬底105、降低衬底105到卡盘103上以及将衬底105举起使其离开卡盘103。另外,尽管图未示出,泵连接到室101上以用于控制室101内的压力,并且用于在等离子体处理操作期间从室101排出气体副产物。
在各种实施方式中,介电窗口107被限定成是由介电材料制成的,例如,陶瓷材料或石英等。应当理解,在其他实施方式中,介电窗口107可以被限定成是由其他介电材料形成的,只要介电窗口107能承受其在等离子体处理操作期间在室101内所处的条件。在一些等离子体处理操作中,室101在从约50摄氏度(℃)至约120摄氏度的温度范围内的高温下工作。一般来讲,室101内的温度会取决于所进行的具体蚀刻过程。另外,室101可以在从约1毫托(mT)至约100mT的压力范围内的减压条件下工作。
系统100还包括设置在室101外的在介电窗口107上方的TCP(变压器耦合等离子体)线圈109。TCP线圈109被连接上以通过TCP匹配电路123接收来自TCP射频电源121的射频功率。具体地讲,TCP射频电源121电气连接在参考地电位125与TCP匹配电路123之间,如电气连接127A和127B所示。TCP匹配电路123电气连接在TCP电源121与TCP线圈109之间,如电气连接127B和127C所示。TCP匹配电路123被限定成控制射频功率传输路径到TCP线圈109的阻抗,以便使射频功率高效传输到TCP线圈109。
在等离子体处理操作期间,工艺气体流入室101中,并且射频功率从TCP射频电源121被供应到TCP线圈109。穿过TCP线圈109的射频功率在室101中感应出电磁电流,该电磁电流作用在工艺气体上以产生等离子体129。以此方式,TCP线圈109充当变压器的一次线圈,并且等离子体129充当变压器的二次线圈。等离子体129包括反应性成分,例如,自由基和离子(正离子和负离子),这些反应性成分运动以在与衬底105接触时从衬底105去除(即,蚀刻)材料。
应当理解,当室101安装在制造设施中时,室101连接到系统上,该系统设置用于供应工艺气体到室101,从室101排出工艺气体和副产物、监测并控制室101内的压力、监测并控制室101内的温度、以及环境颗粒控制。另外,应当理解,室101可以与转移室连接上,该转移室被限定成提供用于自动转移衬底105到室101中并且从室101自动移走衬底105。
在工作期间,从偏置射频电源111通过偏置匹配电路113和IEADF控制电路115发射射频功率到偏置电极104以产生并控制衬底105上存在的直流(DC)偏置电压,该直流偏置电压继而控制向存在于在衬底105上方产生的等离子体129内的离子群上所施加的力。发射到偏置电极104的射频功率与施加在偏置电极104上的射频偏置电压对应。由于从偏置射频电源111通过偏置匹配电路113和IEADF控制电路115施加射频功率到偏转电极104上,因而在衬底105上形成的直流偏置电压和施加在偏置电极104上的射频偏置电压两者同时产生。因此,在偏置射频电源内的IEADF控制电路115影响衬底105上的直流偏置电压以及偏置电极104上的射频偏置电压两者。
直流偏置电压代表衬底105上特定点处的平均离子能量。随着对衬底105进行充电,在衬底105上形成直流偏置电压。IEADF控制电路115控制在脉冲偏置射频电源模式中直流偏置电压发展的速率。在连续波(CW)偏置射频电源模式中,允许衬底105上的直流偏置电压达到稳态。因此,在连续波偏置射频电源模式中,IEADF控制电路115对衬底105上的直流偏置电压的影响不相关。另外,应当理解,在等离子体129鞘层边缘观察到的射频波形会(在偏置匹配电路113和卡盘103中的所有电容层受到IEADF控制电路115的控制后)受到IEADF控制电路115的控制。并且,随着离子朝着衬底105加速,离子对等离子体129鞘层边缘处的射频波形响应以因此构成IEADF。
在各种实施方式中,射频电源111可以被限定成包括单个射频发生器或多个射频发生器。另外,射频电源111可以被限定成以一个或多个频率产生射频功率。并且,在多个射频发生器的情况中,射频电源111能够以同步方式在多个频率下产生射频功率。偏置匹配电路113被限定成控制到偏置电极104的射频功率传输路径的阻抗,以便使射频功率高效传输到偏置电极104。
等离子体处理室101利用由射频电源111在多个频率下产生的射频偏置功率以产生并控制在等离子体处理期间到达衬底105的暴露表面上的高能离子群。根据正在进行的特定蚀刻应用,可能重要的是控制到达衬底105的各种能量的离子群的比例(fraction)以及相关的离子能量和角分布函数(IEADF)。偏置射频电源111的脉冲,即,偏置脉冲,可以用于在衬底105产生IEADF。偏置脉冲操作导致在与供应的偏置射频功率的脉冲重复频率(PRF)和脉冲占空比(D.C.)相关的时间段内朝着衬底105发射各种能量的离子群。然而,在偏置脉冲工作期间还需要控制作用于衬底105的IEADF,以便获得衬底105上具体的等离子体处理结果。根据本发明的各种实施方式,IEADF电路115被限定成并被操作成在偏置脉冲工作期间控制IEADF,以便控制作用于衬底105的低能离子群和高能离子群。
图2示出了根据本发明的一个实施方式的偏置射频电源电路的电气示意图。如参照图1A至图1B所讨论的,从偏置射频电源111通过偏置匹配电路113,通过IEADF电路115供应偏置射频功率到偏置电极104。偏置射频功率从偏置电极104通过等离子体129发射到室101的电气接地的包围结构,如线条201所示。偏置匹配电路113内的电路元件提供阻抗匹配以允许通过等离子体129高效传输偏置射频功率。偏置匹配电路113包括电气串联在偏置射频功率传输线119B与参考地电位203之间的第一电感L1和第一可变电容器C1。偏置匹配电路113还包括沿着偏置射频功率传输线119B/119C电气串联的第二电感L2和第二可变电容器C2,所述传输线119B/119C延伸到偏置电极104。在工作期间,独立设置第一和第二可变电容器C1和C2以获得用于通过等离子体129高效传输偏置射频功率所需的阻抗匹配。
IEADF控制电路115包括沿着偏置射频功率传输线119B/119C电气连接的一个或多个隔直流电容器BC,该传输线119B/119C延伸到偏置电极104。为了简单起见,本文参照单个隔直流电容器BC描述IEADF控制电路115。然而,应当理解,在其他实施方式中,IEADF控制电路115可以包括多个隔直流电容器BC,并且多个隔直流电容器BC能够沿着偏置射频功率传输线119B/119C以串联、并联亦或它们的组合电气连接,该传输线119B/119C延伸到偏置电极104。在工作期间,隔直流电容器BC被设置成控制等离子体129内的作用于衬底105的IEADF。
图2的偏置射频电源电路能够以连续波偏置射频电源模式亦或脉冲偏置射频电源模式工作。然而,如下所述,当偏置射频电源电路工作在脉冲偏置射频电源模式时,存在IEADF控制电路115内的隔直流电容器BC提供的IEADF控制效果。为了解释当偏置射频电源电路工作在脉冲偏置射频电源模式时由IEADF控制电路115内的隔直流电容器BC提供的IEADF控制效果,首先解释当偏置射频电源电路工作在连续波偏置射频电源模式时IEADF如何表现是有益的。
图3A示出了根据本发明的一个实施方式的在不同频率的连续波偏置射频功率的等离子体(例如,等离子体129)的离子能量分布的曲线图。应当理解,本文中存在的等离子体中的每个离子能量分布或IEADF与衬底105上的特定位置对应。在10毫托的压强,200sccm(标准立方厘米每分)气体流率的氩气,500W(瓦特)的电感线圈功率以及施加在偏置电极104上的400V(伏特)射频偏置电压下产生等离子体。隔直流电容器设置在550nF。如图所示,2MHz(兆赫兹)的较低连续波射频频率具有在从小于25eV(电子伏特)延伸到大于750eV的能量范围内的离子能量分布。下一个10MHz的较高连续波射频频率具有在从约125eV延伸到约650eV的较窄的能量范围内的离子能量分布。下一个12.5MHz的更高连续波射频频率具有在从约150eV延伸到约600eV的更窄的能量范围内的离子能量分布。根据图3A,应当理解,当连续波射频频率针对给定的隔直流电容器电容值增大时,离子能量分布会聚在较窄的能量范围内。
图3B示出了根据本发明的一个实施方式的对于不同电容的隔直流电容器BC在连续波偏置射频电源开始期间在衬底105上产生的直流偏置电压作为时间的函数的曲线图。图3B中示出的曲线图与在10MHz频率的连续波偏置射频功率对应。如图所示,隔直流电容器BC的电容越大,具有的放电时间越长。在下文中,隔直流电容器BC的较大电容对应于用于在衬底105上形成直流偏置电压的较长时间。因此,可以设置隔直流电容器BC的电容以控制当开启偏置射频电源111时直流偏置电压在衬底105上上升的速率,设置的隔直流电容器BC的电容越低,直流偏置电压上升的速率越大,反之亦然。
图3C示出了根据本发明的一个实施方式的等离子体在10MHz的射频频率的稳态连续波偏置射频电源期间对于隔直流电容器BC的不同电容设置的离子能量分布的曲线图。如图所示,在稳态连续波偏置射频功率工作期间,隔直流电容器BC已经完全放电并且对等离子体中的离子能量分布本质上没有影响,与隔直流电容器BC的电容设置无关。因此,应当理解,隔直流电容器BC在衬底105上的直流偏置电压在达到稳态条件之前的形成期间对离子能量分布有影响。
在脉冲偏置射频电源模式期间,衬底105上的直流偏置电压在射频功率脉冲期间可以不达到稳态。因此,在脉冲偏置射频电源模式期间,当启动射频功率脉冲时,衬底105上的直流偏置电压开始形成,例如如图3B所示。然后,当关闭射频功率脉冲时,衬底105上的直流偏置电压迅速消失。因为隔直流电容器BC影响在对偏置电极104启动射频电源时直流偏置电压在衬底105上形成的速率,所以隔直流电容器BC会影响在脉冲偏置射频电源模式期间从每个射频功率脉冲开始在衬底105上形成直流偏置电压的速率。因此,应当理解,通过控制在脉冲偏置射频电源模式的每个射频脉冲期间在衬底105上形成直流偏置电压的速率,隔直流电容器BC的电容设置在脉冲偏置射频电源模式的每个射频脉冲期间提供对等离子体内的IEADF的相应控制。
图4A、图5A和图6A示出了根据本发明的一个实施方式的在脉冲电压射频电源模式期间对于隔直流电容器BC的不同电容设置在衬底105上形成的直流偏置电压和对应等离子体电位的曲线图。图4A图示了根据本发明的一个实施方式的在上曲线401的衬底105上的直流偏置电压以及在下曲线403的对应的等离子体电位与三个连续偏置射频功率脉冲的时间的函数关系,其中隔直流电容器BC电容设置为100nF(毫微法拉)。图5A图示了根据本发明的一个实施方式的在上曲线501的衬底105上的直流偏置电压以及在下曲线503的对应的等离子体电位与三个连续偏置射频功率脉冲的时间的函数关系,其中隔直流电容器BC电容设置为500nF。图6A图示了根据本发明的一个实施方式的在上曲线601的衬底105上的直流偏置电压以及在下曲线603的对应的等离子体电位与三个连续偏置射频功率脉冲的时间的函数关系,其中隔直流电容器BC电容设置为1000nF。
另外,每个图4A、图5A和图6A的等离子体产生规范相同。具体地讲,在10mT的压强、200sccm气体流率的氩气、500W的TCP线圈功率、10MHz的射频功率频率、5kHz的偏置脉冲重复频率(PRF)以及25%的偏置脉冲占空比(D.C.)下产生等离子体。PRF限定启动偏置脉冲的速率。偏置脉冲占空比限定在单个偏置脉冲周期内施加(即,开启)偏置射频功率的时间量。
因为每个图4A、图5A和图6A的等离子体产生规范相同,所以由于隔直流电容器BC的电容设置的差异导致如所述的上曲线401、501和601所示的衬底105上的直流偏置电压之间的差异。类似地,下曲线403、503和603中等离子体电位性状之间的差异同样是由于隔直流电容器BC的电容设置的差异而导致。比较上曲线401、501和601发现,随着隔直流电容器BC的电容设置增大,衬底105上的直流偏置电压上升的速率减小,反之亦然。类似地,比较下曲线403、503和603发现,隔直流电容器BC的电容设置越大,对应的等离子体电位增大的速率越小,反之亦然。
等离子体电位在每个偏置射频功率脉冲期间增大的速率对等离子体内的IEADF具有相应的影响。因此,隔直流电容器BC的电容设置的变化与等离子体内的IEADF的变化对应。因此,隔直流电容器BC可以用于控制等离子体内的IEADF。这种效果如图4B、图5B和图6B所示,分别对应于图4A、图5A和图6A的脉冲偏置射频功率操作。图4B示出了根据本发明的一个实施方式的与图4A的脉冲偏置射频功率操作对应的等离子体内的离子能量分布函数,其中隔直流电容器BC的电容设置为100nF。图5B示出了根据本发明的一个实施方式的与图5A的脉冲偏置射频功率操作对应的等离子体内的离子能量分布函数,其中隔直流电容器BC的电容设置为500nF。图6B示出了根据本发明的一个实施方式的与图6A的脉冲偏置射频功率操作对应的等离子体内的离子能量分布函数,其中隔直流电容器BC的电容设置为1000nF。
比较图4B、图5B和图6B的离子能量分布函数发现,在较低电容的隔直流电容器BC设置下,在每个偏置射频功率脉冲期间等离子体电位对应的较大的上升速率导致离子能量分布函数朝着更高的离子能量偏移。出现这种效果的原因是,较低电容隔直流电容器BC在每个偏置射频功率脉冲期间较迅速地放电导致在衬底105上较迅速地形成直流偏置电压,进而导致等离子体在脉冲偏置射频电源模式期间具有较高的平均电位。因此,在较高电容的隔直流电容器BC设置下,等离子体电位在每个偏置射频功率脉冲期间较小的上升速率导致离子能量分布函数朝着较低的离子能量偏移。并且,出现这种效果的原因是,较高电容隔直流电容器BC在每个偏置射频功率脉冲期间较慢地放电导致在衬底105上较慢地形成直流偏置电压,进而导致等离子体在脉冲偏置射频电源模式期间具有较低的平均电位。因此,应当理解,可以设置隔直流电容器BC来控制在脉冲偏置射频功率工作期间等离子体内的平均离子能量分布函数。并且,随着隔直流电容器的电容增大,等离子体内的平均离子能量分布函数朝着较低的离子能量偏移,反之亦然。
离子角分布函数与离子能量分布函数紧密相关,与较低能量的离子相比,较高能量的离子朝着衬底105更强烈地被吸引。被更强烈地吸引的较高能量的离子以更直接的路径朝着衬底105移动,以便朝着衬底105沿较垂直的方向前进。因此,随着离子能量分布函数朝着较高的能量偏移,离子角分布函数更垂直于(即,正交)衬底105。这种效果如图4C、图5C和图6C所示,其分别示出了根据本发明的一个实施方式与图4B、图5B和图6B的离子能量分布函数对应的离子角分布函数。
因此,应当理解,当设置隔直流电容器BC来控制在脉冲偏置射频功率工作期间等离子体内的平均离子能量分布函数时,隔直流电容器BC设置相应地调节平均离子角分布函数。并且,当离子能量分布函数随着隔直流电容器BC的电容增大而朝着较低的离子能量偏移时,等离子体内的平均离子角分布函数相对于衬底105垂直程度变小,反之亦然。然而,应当理解,等离子体的离子角分布函数是改变隔直流电容器BC的电容的次要效果,而改变等离子体内的离子能量分布函数是改变隔直流电容器BC的电容的主要效果。
图7A、图8A和图9A分别示出了根据本发明的一个实施方式的在脉冲偏置射频电源模式中对于单个脉冲周期在衬底105上形成的电子密度、等离子体电位(V)和直流偏置电压的曲线图,隔直流电容器BC的电容值分别为1nF、500nF和1000nF。每个图7A、图8A和图9A的等离子体产生规范相同。具体地讲,在10mT的压强、200sccm气体流率的氩气、500W的TCP线圈功率、10MHz的射频功率频率、5kHz的偏置脉冲重复频率(PRF)以及25%偏置脉冲占空比下产生等离子体。因此,图7A、图8A和图9A之间给定曲线的变化是由于IEADF控制电路115中的隔直流电容器BC的电容设置的变化所导致。
在图7A中,电子密度曲线701与图表左侧的刻度对应,并且等离子体电位曲线702和直流偏置电压曲线703两者都与图表右侧的刻度对应。在图8A中,电子密度曲线801与图表左侧的刻度对应,并且等离子体电位曲线802和直流偏置电压曲线803两者都与图表右侧的刻度对应。在图9A中,电子密度曲线901与图表左侧的刻度对应,并且等离子体电位曲线902和直流偏置电压曲线903两者都与图表右侧的刻度对应。
通过分别比较图7A、图8A和图9A的直流偏置曲线703、803和903可以发现在偏置射频脉冲启动时直流偏置电压增大的速率取决于隔直流电容器BC的电容设置。在较小的隔直流电容器BC电容设置1nF,直流偏置电压增大的速率由于隔直流电容器BC迅速放电而非常快。然而,在较大的隔直流电容器BC电容设置500nF,直流偏置电压增大的速率由于隔直流电容器BC较慢地放电而较慢。然而,在较大的隔直流电容器BC电容设置1000nF,直流偏置电压增大的速率由于隔直流电容器BC较慢地放电而较慢。如此前讨论的,等离子体电位的影响取决于偏置电极104上的直流偏置电压特性,直流偏置电压特性受到隔直流电容器BC的电容设置的影响。因此,等离子体电位曲线702、802和902分别与直流偏置电压曲线703、803和903相关联。
图7B、图8B和图9B分别示出了根据本发明的一个实施方式的与图7A、图8A和图9A所示的脉冲偏置射频功率操作对应的等离子体内的离子能量分布函数。比较图7B、图8B和图9B的离子能量分布函数发现,在较低电容的隔直流电容器BC设置下,在偏置射频功率脉冲期间等离子体电位对应的较大的上升速率导致离子能量分布函数朝着较高的离子能量偏移。然后,随着隔直流电容器BC的电容设置增大,离子能量分布函数朝着较低的离子能量偏移。如此前所述,这是由于较大电容的隔直流电容器BC的较长的放电时间所导致,这继而减小了在每个偏置射频功率脉冲期间作用于离子的整体偏置,从而防止尽可能多的离子达到较高能量的状态。
图7C、图8C和图9C分别示出了根据本发明的一个实施方式的与图7B、图8B和图9B的离子能量分布函数对应的离子角分布函数。比较图7C、图8C和图9C发现,当离子能量分布函数朝着较高的离子能量偏移时,离子角分布函数变得较垂直于衬底105。再者,这是因为较高能量的离子朝着衬底105被更强烈地吸引并且在朝着衬底105更直接的路径上运动。
图10A示出了根据本发明的一个实施方式的对于2MHz射频频率的连续波偏置射频功率应用的离子能量分布函数。除连续波偏置射频电源之外,与图10A相关的等离子体产生规范与图7A至图7C、图8A至图8C以及图9A至图9C相关的等离子体产生规范相同。因此,在比较图10A和图7B的离子能量分布函数时,应当理解,在脉冲偏置射频电源模式中适当设置隔直流电容器BC的电容能产生与连续波偏置射频电源模式的离子能量分布函数类似的离子能量分布函数。
图10B示出了根据本发明的一个实施方式的与图10A的离子能量分布函数对应的离子角分布函数。因此,在比较图10B和图7C的离子角分布函数时,应当理解,在脉冲偏置射频电源模式中适当设置隔直流电容器BC的电容能产生与连续波偏置射频电源模式的离子角分布函数类似的离子角分布函数。
图11示出了根据本发明的一个实施方式的在脉冲偏置射频电源模式中不同占空比(D.C.)的离子能量分布函数的多条曲线以及连续波偏置射频电源模式的离子能量分布函数的曲线。对于图11的曲线,在10mT的压强、200sccm气体流率的氩气、500W的TCP线圈功率、10MHz的射频功率频率、5kHz的偏置脉冲重复频率下产生等离子体。如图11所示,随着占空比(D.C.)在脉冲偏置射频电源模式操作中增大,离子能量分布函数朝着高能量移动,并且最终朝着连续波偏置射频电源模式操作的离子能量分布函数移动。
图12示出了根据本发明的一个实施方式的用于在衬底的等离子体处理期间控制离子的方法的流程图。该方法包括用于在通往偏置电极的射频(RF)功率传输路径内提供可变电容器的操作1201。在一个实施方式中,偏置电极嵌入静电卡盘中。除阻抗匹配电路外,还有可变电容器也被提供在通往偏置电极的射频功率传输路径内。在一个实施方式中,可变电容器电气连接在阻抗匹配电路与偏置电极之间。所述方法还包括用于在脉冲模式中操作射频电源以通过射频功率传输路径发射射频功率脉冲到偏置电极的操作1203。所述方法还包括用于设置可变电容器的电容的操作1205,从而在每个射频功率脉冲期间控制在偏置电极上方存在的衬底上形成直流偏置电压的速率,由此在衬底上形成直流偏置电压的速率控制暴露于由衬底发出的电磁场的等离子体内的离子能量分布和离子角分布。
所述方法还可以包括用于减小可变电容器的电容的操作,从而在每个射频功率脉冲期间增大在衬底上形成直流偏置电压的速率,并且从而控制等离子体内的离子能量分布朝着较高的能量偏移。控制等离子体内的离子能量分布朝着较高的能量偏移导致等离子体内的离子角分布偏移到与衬底较垂直的迹线。
所述方法还可以包括用于增大可变电容器的电容的操作,从而在每个射频功率脉冲期间减小在衬底上形成直流偏置电压的速率,并且从而控制等离子体内的离子能量分布朝着较低的能量偏移。控制等离子体内的离子能量分布朝着较低的能量偏移导致等离子体内的离子角分布偏移到与衬底垂直度较小的迹线。
在操作1203中,在脉冲模式中操作射频电源以发射射频功率脉冲包括根据脉冲重复频率和占空比产生射频功率脉冲。增大占空比导致等离子体内的时均离子能量分布朝着较高的能量偏移。减小占空比导致等离子体内的时均离子能量分布朝着较低的能量偏移。增大脉冲重复频率导致等离子体内的时均离子能量分布朝着较高的能量偏移。减小脉冲重复频率导致等离子体内的时均离子能量分布朝着较低的能量偏移。
如本文所讨论的,在偏置射频电源路径中设置IEADF控制电路115并且特别是设置可变电容隔直流电容器BC使得在脉冲偏置射频电源模式中工作时能控制离子能量分布和离子角分布。另外,能够控制隔直流电容器BC的电容设置、供应的偏置射频功率的脉冲重复频率(PRF)和脉冲占空比(PDC)的组合以在等离子体内获得所需的离子能量分布函数和离子角分布函数。并且,在等离子体处理操作期间可以调节隔直流电容器BC的电容设置以影响等离子体的离子能量分布函数和离子角分布函数的变化。
本文中已证实,当通过亲本正弦频率(parent sinusoidal frequency)操作脉冲偏置射频功率,可调的隔直流电容器BC可以产生与会在其他亲本正弦频率的连续波偏置射频功率模式中产生的IEADF十分相似的IEADF,但是低能和高能粒子群具有截然不同的间距。由于隔直流电容器BC充电和放电及其与偏置脉冲重复时间段相关联的时间比例,可调的隔直流电容器BC可以显著改变衬底的直流偏置电压(让离子加速到衬底的平均能量)及其时间演化,使得离子群在低能和高能状态有不同的分布。这使得能以独特的方式来控制入射到衬底上的IEADF以及在衬底上蚀刻所得的特征轮廓。
本文公开的隔直流电容器BC的实施方式和操作用于在不使用特殊射频发生器也不使用波形发生器的情况下在脉冲偏置射频功率工作期间控制等离子体内的IEADF以及到达衬底的低能离子和高能离子的相对群。另外,通过使用在偏置射频电源路径使用可调的隔直流电容器BC(连接到偏置匹配电路113的电路上),能够控制衬底的直流偏置电压和从低到高的离子能量的相对群。这还导致与在另一个亲本射频频率的连续波偏置射频电源模式的IEADF类似的来自脉冲偏置射频电源模式的IEADF。
鉴于本公开的内容,应当理解,结合脉冲偏置射频电源模式使用可调的隔直流电容器BC以对衬底附近的离子能量分布和离子角分布进行控制。提供可调的隔直流电容器,即,可变的隔直流电容器,用于控制衬底附近的电荷分布、衬底上的直流偏置电压、鞘层塌陷以及离子能量分布函数和离子角分布函数。另外,衬底上的直流偏置电压的临时特性取决于隔直流电容器BC的电容大小。再者,应当理解,本文公开的用于在脉冲偏置射频电源模式工作期间利用隔直流电容器BC来控制IEADF的方法和系统不需要使用特殊的射频发生器或波形发生器。
尽管就几个实施方式描述了本发明,但是应当认识到本领域技术人员在阅读前述说明书并且研究附图之后会想到多种替代形式、增设、变换及其等效形式。因此,本发明的目的是包括落入本发明的真正精神和范围内的所有这些替代形式、增设、变换及其等同形式。

Claims (18)

1.一种用于在衬底的等离子体处理期间控制离子的方法,其包括:
在通往偏置电极的射频功率传输路径内提供可变电容器,除阻抗匹配电路外,还有所述可变电容器也被提供在通往所述偏置电极的所述射频功率传输路径内;
在脉冲模式中操作射频电源以通过所述射频功率传输路径发射射频功率脉冲到所述偏置电极,其中在脉冲模式中操作所述射频电源以发射射频功率脉冲包括:根据脉冲重复频率和占空比产生射频功率脉冲;并且
设置所述可变电容器的电容,从而在每个射频功率脉冲期间控制在所述偏置电极上方存在的衬底上形成直流偏置电压的速率,由此在所述衬底上形成所述直流偏置电压的所述速率控制暴露于由所述衬底发出的电磁场的等离子体内的离子能量分布和离子角分布。
2.根据权利要求1所述的用于在衬底的等离子体处理期间控制离子的方法,其中所述可变电容器电气连接在所述阻抗匹配电路与所述偏置电极之间。
3.根据权利要求1所述的用于在衬底的等离子体处理期间控制离子的方法,其中所述偏置电极嵌入静电卡盘内。
4.根据权利要求1所述的用于在衬底的等离子体处理期间控制离子的方法,进一步包括:
减小所述可变电容器的电容,从而在每个射频功率脉冲期间增大在所述衬底上形成所述直流偏置电压的速率,并且从而控制所述等离子体内的离子能量分布朝着较高能量偏移。
5.根据权利要求4所述的用于在衬底的等离子体处理期间控制离子的方法,其中控制所述等离子体内的离子能量分布朝着较高能量偏移导致所述等离子体内的离子角分布偏移至与所述衬底较垂直的迹线。
6.根据权利要求1所述的用于在衬底的等离子体处理期间控制离子的方法,进一步包括:
增大所述可变电容器的电容,从而在每个射频功率脉冲期间减小在所述衬底上形成所述直流偏置电压的速率,并且从而控制所述等离子体内的离子能量分布朝着较低能量偏移。
7.根据权利要求6所述的用于在衬底的等离子体处理期间控制离子的方法,其中控制所述等离子体内的离子能量分布朝着较低能量偏移导致所述等离子体内的所述离子角分布偏移到与所述衬底较不垂直的迹线。
8.根据权利要求1所述的用于在衬底的等离子体处理期间控制离子的方法,其中增大所述占空比导致所述等离子体内的时均离子能量分布朝着较高能量偏移,并且其中减小所述占空比导致所述等离子体内的时均离子能量分布朝着较低能量偏移。
9.根据权利要求1所述的用于在衬底的等离子体处理期间控制离子的方法,其中增大所述脉冲重复频率导致所述等离子体内的时均离子能量分布朝着较高能量偏移,并且其中减小所述脉冲重复频率导致所述等离子体内的时均离子能量分布朝着较低能量偏移。
10.一种用于在衬底的等离子体处理期间控制离子的系统,包括:
卡盘,被限定成用于支撑暴露于等离子体的衬底;
偏置电极,其嵌入所述卡盘内;
射频电源,其被限定成用于在脉冲模式中工作以通过射频功率传输路径发射射频功率脉冲到所述偏置电极,其中所述射频电源被限定成用于根据脉冲重复频率和占空比产生射频功率脉冲;
阻抗匹配电路,其电气连接在所述射频电源与所述偏置电极之间的所述射频功率传输路径内;以及
可变电容器,其电气连接在所述射频功率传输路径内,所述可变电容器被限定成用于在每个射频功率脉冲期间控制在所述衬底上形成直流偏置电压的速率,由此在所述衬底上形成所述直流偏置电压的所述速率控制暴露于从所述衬底发出的电磁场的所述等离子体内的离子能量分布和离子角分布。
11.根据权利要求10所述的用于在衬底的等离子体处理期间控制离子的系统,其中所述可变电容器电气连接在所述阻抗匹配电路与所述偏置电极之间。
12.根据权利要求10所述的用于在衬底的等离子体处理期间控制离子的系统,其中所述卡盘被限定为静电卡盘。
13.根据权利要求10所述的用于在衬底的等离子体处理期间控制离子的系统,其中所述可变电容器电气连接在所述射频功率传输路径内使得减小所述可变电容器的电容导致在每个射频功率脉冲期间在所述衬底上形成所述直流偏置电压的速率增大,并且从而控制所述等离子体内的离子能量分布朝着较高能量偏移。
14.根据权利要求13所述的用于在衬底的等离子体处理期间控制离子的系统,其中控制所述等离子体内的离子能量分布朝着较高能量偏移导致所述等离子体内的离子角分布偏移到与所述衬底较垂直的迹线。
15.根据权利要求10所述的用于在衬底的等离子体处理期间控制离子的系统,其中所述可变电容器电气连接在所述射频功率传输路径内使得增大所述可变电容器的电容导致在每个射频功率脉冲期间在所述衬底上形成所述直流偏置电压的速率减小,并且从而控制所述等离子体内的离子能量分布朝着较低能量偏移。
16.根据权利要求15所述的用于在衬底的等离子体处理期间控制离子的系统,其中控制所述等离子体内的离子能量分布朝着较低能量偏移导致所述等离子体内的离子角分布偏移到与所述衬底较不垂直的迹线。
17.根据权利要求10所述的用于在衬底的等离子体处理期间控制离子的系统,其中所述射频电源被限定成提供用于调节所述占空比,其中增大所述占空比导致所述等离子体内的时均离子能量分布朝着较高能量偏移,并且其中减小所述占空比导致所述等离子体内的时均离子能量分布朝着较低能量偏移。
18.根据权利要求10所述的用于在衬底的等离子体处理期间控制离子的系统,其中所述射频电源被限定成提供用于调节所述脉冲重复频率,其中增大所述脉冲重复频率导致所述等离子体内的时均离子能量分布朝着较高能量偏移,并且其中减小所述脉冲重复频率导致所述等离子体内的时均离子能量分布朝着低能量偏移。
CN201410482629.4A 2013-09-19 2014-09-19 控制衬底的直流偏置及离子能量和角分布的方法及设备 Active CN104465291B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/031,793 US9053908B2 (en) 2013-09-19 2013-09-19 Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US14/031,793 2013-09-19

Publications (2)

Publication Number Publication Date
CN104465291A CN104465291A (zh) 2015-03-25
CN104465291B true CN104465291B (zh) 2017-03-22

Family

ID=52667015

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410482629.4A Active CN104465291B (zh) 2013-09-19 2014-09-19 控制衬底的直流偏置及离子能量和角分布的方法及设备

Country Status (4)

Country Link
US (1) US9053908B2 (zh)
KR (1) KR102319781B1 (zh)
CN (1) CN104465291B (zh)
TW (1) TWI665710B (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
KR102630261B1 (ko) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
DE102015220847A1 (de) * 2015-10-26 2017-04-27 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Impedanzanpassung einer Last an die Ausgangsimpedanz eines Leistungsgenerators und Impedanzanpassungsanordnung
US9614524B1 (en) 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN106098524B (zh) * 2016-06-27 2017-12-19 江苏鲁汶仪器有限公司 单射频电源感应耦合等离子体刻蚀机
CN107665800B (zh) * 2016-07-28 2019-06-07 中微半导体设备(上海)股份有限公司 一种用于等离子处理器的射频电源控制装置及其控制方法
US10312048B2 (en) * 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
KR102404119B1 (ko) * 2017-12-13 2022-05-31 어플라이드 머티어리얼스, 인코포레이티드 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
CN109273341B (zh) * 2018-10-18 2021-01-08 北京北方华创微电子装备有限公司 一种等离子体工艺方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210094564A (ko) * 2018-11-30 2021-07-29 그라포체 게엠베하 플라즈마 유도 정수를 위한 방법 및 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111863591A (zh) * 2019-04-28 2020-10-30 北京北方华创微电子装备有限公司 一种预清洗方法
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
CN112530775A (zh) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
US20210351007A1 (en) * 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
KR20220013046A (ko) * 2020-07-24 2022-02-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102339615B1 (ko) 2020-07-24 2021-12-16 신스타프리젠츠 주식회사 프랜차이즈 푸드트럭 통합 관리 시스템 및 방법
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200307989A (en) * 2002-04-19 2003-12-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
TW200507041A (en) * 2003-08-07 2005-02-16 Taiwan Semiconductor Mfg Co Ltd An auto feed-back process control method
CN101542712A (zh) * 2005-12-16 2009-09-23 朗姆研究公司 控制等离子密度分布的设备和方法
CN102800551A (zh) * 2011-05-23 2012-11-28 南亚科技股份有限公司 制备高宽深比结构的等离子蚀刻方法及等离子蚀刻装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6201208B1 (en) * 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2005124844A1 (ja) * 2004-06-21 2005-12-29 Tokyo Electron Limited プラズマ処理装置及び方法
JP2012060104A (ja) * 2010-08-11 2012-03-22 Toshiba Corp 電源制御装置、プラズマ処理装置、及びプラズマ処理方法
KR101284735B1 (ko) * 2011-09-28 2013-07-17 부산대학교 산학협력단 외부 커패시터를 이용한 대기압 플라즈마 발생장치
KR20130095433A (ko) * 2012-02-20 2013-08-28 인제대학교 산학협력단 기판의 플라즈마 처리장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200307989A (en) * 2002-04-19 2003-12-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
TW200507041A (en) * 2003-08-07 2005-02-16 Taiwan Semiconductor Mfg Co Ltd An auto feed-back process control method
CN101542712A (zh) * 2005-12-16 2009-09-23 朗姆研究公司 控制等离子密度分布的设备和方法
CN102800551A (zh) * 2011-05-23 2012-11-28 南亚科技股份有限公司 制备高宽深比结构的等离子蚀刻方法及等离子蚀刻装置

Also Published As

Publication number Publication date
TWI665710B (zh) 2019-07-11
US20150076112A1 (en) 2015-03-19
CN104465291A (zh) 2015-03-25
TW201521078A (zh) 2015-06-01
US9053908B2 (en) 2015-06-09
KR20150032638A (ko) 2015-03-27
KR102319781B1 (ko) 2021-11-01

Similar Documents

Publication Publication Date Title
CN104465291B (zh) 控制衬底的直流偏置及离子能量和角分布的方法及设备
CN112424904B (zh) 控制等离子体加工的系统和方法
TWI720009B (zh) 具有約鋸齒波脈衝的rf功率傳輸
US20240030000A1 (en) Systems and methods for reverse pulsing
JP6960226B2 (ja) 直流パルス式プラズマシステム
KR102124407B1 (ko) 플라스마 처리 방법 및 플라스마 처리 장치
US20190362942A1 (en) Systems and methods for achieving peak ion energy enhancement with a low angular spread
CN103109342B (zh) 用于等离子体处理衬底的技术
US20120052689A1 (en) Plasma etching method and apparatus thereof
CN106653550B (zh) 用双峰工艺气体组合物进行等离子体蚀刻的方法和系统
US11462389B2 (en) Pulsed-voltage hardware assembly for use in a plasma processing system
EP1079671A2 (en) Antenna device for generating inductively coupled plasma
US9824896B2 (en) Methods and systems for advanced ion control for etching processes
CN104347341B (zh) 用于蚀刻的快速气体切换
CN106653551B (zh) 独立控制自由基密度、离子密度和离子能量的方法和系统
JP7195810B2 (ja) イオンの方向性を増大させるためのマルチレジームプラズマウエハ処理
US20150357167A1 (en) Apparatus and method for mass analyzed ion beam
TW202143285A (zh) 使用脈衝電子束的電漿處理方法
US6926844B1 (en) Plasma etching method having pulsed substrate electrode power
CN110391120A (zh) 一种喷头和等离子体处理腔室

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant