CN103824812A - 用于平面衬底的双外延cmos集成 - Google Patents

用于平面衬底的双外延cmos集成 Download PDF

Info

Publication number
CN103824812A
CN103824812A CN201310444504.8A CN201310444504A CN103824812A CN 103824812 A CN103824812 A CN 103824812A CN 201310444504 A CN201310444504 A CN 201310444504A CN 103824812 A CN103824812 A CN 103824812A
Authority
CN
China
Prior art keywords
region
hard mask
gate electrode
adjacent
shaped region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310444504.8A
Other languages
English (en)
Other versions
CN103824812B (zh
Inventor
N·劳贝特
B·普拉纳撒蒂哈兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
International Business Machines Corp
Original Assignee
STMicroelectronics lnc USA
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by STMicroelectronics lnc USA, International Business Machines Corp filed Critical STMicroelectronics lnc USA
Publication of CN103824812A publication Critical patent/CN103824812A/zh
Application granted granted Critical
Publication of CN103824812B publication Critical patent/CN103824812B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明涉及一种用于平面衬底的双外延CMOS集成。本发明公开一种集成电路结构及相关方法。形成与在集成电路中的n型和p型区域二者之上的栅极电极相邻的硅锗区域。通过光刻而图案化的硬掩模然后保护在p型区域之上的结构而甚至在栅极电极上的侧壁间隔物上的硬掩模的剩余物之下从n型区域之上选择性地去除硅锗。外延生长与栅极电极相邻的硅锗碳取代去除的硅锗,并且在去除在p型区域结构之上的剩余硬掩模之前执行源极/漏极延伸注入。

Description

用于平面衬底的双外延CMOS集成
技术领域
本公开内容总体涉及制作互补金属氧化物半导体集成电路,并且更具体地,涉及互补金属氧化物半导体集成电路中的硅锗碳(silicon germanium carbon)层的外延沉积。
背景技术
前沿技术需要在低功率操作的高性能。硅锗碳(经常由“Si(Ge)(C)”、“SiGe(C)”或者“SiGe:C”中的任一项表示)层的外延生长可以是用于提高器件性能的有吸引力的解决方案。然而在互补MOS(CMOS)设计内的n沟道金属氧化物半导体(NMOS)和p沟道MOS(PMOS)晶体管需要不同外延,从而产生在对一个晶体管类型执行外延时在保护另一晶体管类型中的挑战。常规方法需要图案化和在NMOS或者PMOS器件上使用附加间隔物,这降低器件性能、使集成很难并且导致所得电路上的不良缺陷率。
也就是说,因此在本领域中需要一种用于在制作CMOS集成电路期间外延沉积硅锗碳层的改进工艺。
发明内容
形成与在集成电路中的n型和p型区域二者之上的栅极电极相邻的硅锗区域。通过光刻而图案化的硬掩模然后保护在p型区域之上的结构而甚至在栅极电极上的侧壁间隔物上的硬掩模的剩余物之下从n型区域之上选择性地去除硅锗。外延生长与栅极电极相邻的硅锗碳取代去除的硅锗,并且在去除在p型区域结构之上的剩余硬掩模之前执行源极/漏极延伸注入。
在描述以下具体实施方式之前,阐明贯穿本专利文献使用的某些字眼和短语的定义可以是有利的:术语“包括”及其派生词意味着包括而不限于;术语“或者”为包含意义,这意味着和/或;短语“与......关联”和“与之关联”及其派生词可以意味着包括、被包括在......内、与......互连、包含、被包含于......内、连接到或者与......连接、耦合到或者与......耦合、可与......连通、与......配合、交织、并置、与......邻近、限于或者用......限定、具有、具有......的性质等;并且术语“控制器”意味着控制至少一个操作的任何设备、系统或者其部分,可以在硬件、固件或者软件中实施这样的设备或者它们中的至少两项的某一组合。应当注意,可以无论本地还是远程地集中或者分布与任何特定控制器关联的功能。贯穿本专利文献提供用于某些字眼和短语的定义,本领域技术人员应当理解,在如果不是多数而为许多实例中,这样的定义适用于这样定义的字眼和短语的先前以及将来使用。
附图说明
为了更完整理解本公开内容及其优点,现在参照结合附图进行的以下描述,在附图中,相同标号代表相同部分:
图1A至图1J是根据本公开内容的一个实施例的在用于平面衬底的双外延CMOS集成工艺期间的半导体集成电路结构的一部分的截面图;并且
图2是图示根据本公开内容的一个实施例的用于平面衬底的双外延CMOS集成工艺的概略流程图。
具体实施方式
以下讨论的图1A至图2和用来在本专利文献中描述本公开内容的原理的各种实施例仅通过示例而不应以任何方式解释为限制公开内容的范围。本领域技术人员将理解可以在任何适当布置的系统中实施本公开内容的原理。
用PMOS优先方案的集成意味着首先在每处生长硅锗(SiGe)并且使用保护硬掩模(HM),继而为光刻步骤。然后用干蚀刻执行简单HM反应离子蚀刻(RIE)以从NMOS结构上的外延SiGe之上去除硬掩模,但是留下PMOS结构上的保护层。使用氯化氢(HCl)的蚀刻性质来选择性地去除NMOS结构上的SiGe外延以选择性地去除SiGe,这有别于仅硅(Si的)结构。可选地,首先用注入物非晶化并且然后选择性地去除NMOS结构上的外延HM层,因为HCl气体也可以比对非晶SiGe:C层的对应单晶相选择性地去除非晶SiGe:C层。
图1A至图1J是根据本公开内容的一个实施例的在用于平面衬底的双外延CMOS集成工艺期间的半导体集成电路结构的一部分的截面图。图2是图示根据本公开内容的一个实施例的用于平面衬底的双外延CMOS集成工艺的概略流程图,并且以下结合图1A至图1J来讨论。尽管图示仅单个NMOS和PMOS晶体管对,但是本领域技术人员将理解,对于集成电路裸片上的和晶片内的许多不同裸片上的许多不同CMOS晶体管对使用相同工艺来并行形成相同结构。
本领域技术人员将认识到,图1A至图1J的结构尽管一般被绘制用于图示近似相对尺寸或者尺度、但是未按比例绘制。本领域技术人员将进一步认识到,在附图中未图示或者这里未描述用于形成集成电路和关联结构的全工艺。取而代之,为了简化和清楚,仅描绘和描述用于形成集成电路和关联结构的工艺的如本公开内容特有的或者为了理解本公开内容而必需的部分。此外,虽然在附图中图示和这里描述各种步骤,但是未暗示关于这样的步骤的顺序或者存在或者不存在居间步骤的限制。除非另有指明,完成如描绘或者描述为依次的步骤仅为了说明而未排除如果不是完全则至少部分以并行或者重叠方式实际执行相应步骤的可能性。
首先参照图1A,作为用于根据本公开内容的双外延CMOS集成的起点,集成电路结构100包括可选衬底101,在该衬底上形成各自用不同类型的杂质掺杂的半导体材料(例如硅)区域102-103以产生n型区域102和p型区域103。n型区域102和p型区域103被隔离区域104a相互电隔离并且被各自可以由(例如)二氧化硅形成的隔离区域104b和104c从衬底101上的相邻集成电路结构电隔离。分别可以是二氧化硅和硅的层105a和105b以及层106a和106b形成于n型区域102和p型区域103之上。层106a和106b可以是掺杂或者未掺杂和/或掺杂区域可以形成于层106b中(或者层106a和106b中)。用于晶体管的栅极电极形成于Si(Ge)(C)层106a和106b上,并且在示例实施例中各自包括氮氧化铪硅(HfSiON)栅极绝缘体107a和107b、氮化钛(TiN)阻挡层108a和108b以及多晶硅栅极电极109a和109b。
根据本公开内容的双外延CMOS集成工艺200始于在所有暴露的结构(即栅极电极和Si(Ge)(C)的相邻暴露部分二者,以及隔离区域104a至104b)之上用低压化学气相沉积(LPCVD)形成保形氮化硅(SiN)层110(步骤201)至近似9纳米(nm)的厚度。如图1B中所示,执行零损耗蚀刻(步骤202)以蚀刻和图案化氮化硅层110,从而形成SiN间隔物111。在示例实施例中,通过以下步骤来执行SiN层110的蚀刻和图案化:首先使用利用乙二醇稀释的氢氟酸(HFEG)溶液,然后使用反应离子蚀刻以定向地去除SiN层110的部分而在栅极电极的侧壁上留下显著厚度的SiN,并且最终用氢氟(HF)酸蚀刻以去除层106a和106b之上的任何剩余SiN,从而留下与栅极电极的两侧相邻的SiN间隔物111。
如图1C中所示,然后执行外延(步骤203)以在SiN间隔物111与隔离区域104a、104b和104c之间在层106a和106b的暴露表面上生长SiGe区域112a、112b、112c和112d。可以用适合于在p型区域103之上形成器件的杂质类型和浓度形成SiGe区域112a、112b、112c和112d。如图1D中所示,然后在整个结构100之上形成硬掩模(HM)113(步骤204。可以利用任何硬掩模材料,诸如氮化硅。如图1E中所示,在硬掩模113之上形成和图案化光刻胶114以允许除了与栅极电极相邻的部分或者剩余物115之外在n型区域102之上通过RIE选择性地去除硬掩模113(步骤205)。由于SiN RIE工艺的选择性而有可能用相对于SiGe层112a和112b的SiN RIE过蚀刻。在n型区域之上的硬掩模剩余物115由于SiN RIE的定向性质而保留,但是对于工艺流程不是必需的并且在其它工艺中并不用作附加侧壁间隔物的功能。取而代之,选择性蚀刻允许甚至在硬掩模剩余物115之下去除与n型区域102之上的栅极电极相邻的SiGe区域112a和112b。外延生长然后允许形成Si(Ge)(C)区域取代SiGe区域112a和112b。与栅极电极相邻的附加间隔物对于去除SiGe区域112a和112b以及外延生长Si(Ge)(C)取代SiGe区域112a和112b不是必需的。
参照图1F,然后剥离抗蚀剂114,执行预清理,并且通过HCl蚀刻来去除n型区域102之上的SiGe(步骤206)。如图1G中所示,然后执行外延(步骤207)以在层106a的暴露表面上生长Si(Ge)(C)区域116。形成Si(Ge)(C)区域116取代先前去除的、包括在硬掩模剩余物115之下的SiGe区域112a和112b。如图1H中所示,在p型区域103之上形成和图案化抗蚀剂117以允许在层106a内注入磷酸硼盐(BP)延伸(步骤208)。如图1I中所示,剥离了抗蚀剂,并且去除了p型区域103之上的硬掩模和硬掩模在n型区域102之上的剩余部分(步骤209)。如图1J中所示,然后执行最终间隔物形成、源极/漏极(S/D)注入和硅化(步骤210)。
本公开内容避免需要为NMOS或者PMOS晶体管形成和图案化与栅极电极相邻的单独间隔物以便形成Si(Ge)(C)区域。基于用于SiGe的蚀刻剂比对(SiN)硬掩模的选择性,可以从与栅极相邻的硬掩模剩余物之下去除SiGe区域。然后可以在那些硬掩模剩余物之下外延生长Si(Ge)(C)区域。因此简化集成电路制作工艺流程中的Si(Ge)(C)形成的集成,而对器件性能和缺陷率的有害影响更少。
虽然已经用示例实施例描述本公开内容,但是本领域技术人员可以想到各种改变和修改。旨在于本公开内容涵盖如落入所附权利要求的范围内的这样的改变和修改。

Claims (20)

1.一种方法,包括:
形成与在n型区域和p型区域二者之上的栅极电极相邻的硅锗(SiGe)区域;
在所述p型区域中的结构之上形成和图案化硬掩模;
相对于所述硬掩模的材料选择性地去除与在所述n型区域之上的所述栅极电极相邻的所述硅锗区域;并且
外延生长与在所述n型区域之上的所述栅极电极相邻的硅锗碳(Si(Ge)C)区域以取代所去除的硅锗区域。
2.根据权利要求1所述的方法,其中所述硬掩模包括氮化硅(SiN),并且其中所述硬掩模的剩余物在所述硬掩模被图案化时保留于与在所述n型区域之上的所述栅极电极相邻并且在所述硅锗区域之上的间隔物上。
3.根据权利要求2所述的方法,还包括去除所述硅锗区域的与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的部分。
4.根据权利要求3所述的方法,还包括外延生长与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的硅锗碳。
5.根据权利要求4所述的方法,还包括:
在外延生长与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的所述硅锗碳区域之后,去除所述硬掩模剩余物。
6.根据权利要求5所述的方法,还包括:
在外延生长与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的所述硅锗碳区域之后,并且在去除所述硬掩模剩余物之前,在所述硅锗碳区域以下的层中注入源极/漏极延伸。
7.根据权利要求1所述的方法,其中在选择性地去除与在所述n型区域之上的所述栅极电极相邻的所述硅锗区域和外延生长与在所述n型区域之上的所述栅极电极相邻的硅锗碳区域以取代所去除的硅锗区域期间,所述硬掩模保留于所述p型区域中的结构之上。
8.根据权利要求1所述的方法,其中所述硅锗区域邻接在所述n型区域之上的所述栅极电极上的侧壁间隔物。
9.根据权利要求1所述的方法,其中所述硬掩模由氮化硅形成。
10.根据权利要求9所述的方法,还包括使用盐酸(HCl)以去除与在所述n型区域之上的所述栅极电极相邻的所述硅锗区域而不去除所述硬掩模的剩余物。
11.一种集成电路结构,包括:
在衬底上的n型区域和p型区域二者之上的栅极电极;以及
在通过去除硅锗区域而留下的至少定义空间中与在所述n型区域之上的所述栅极电极相邻的硅锗碳(Si(Ge)(C))区域。
12.根据权利要求11所述的集成电路结构,其中所述硅锗碳区域邻接在所述n型区域之上的所述栅极电极上的侧壁间隔物。
13.根据权利要求12所述的集成电路结构,还包括:
在所述侧壁间隔物上并且在所述硅锗碳区域之上的图案化硬掩模的剩余物。
14.根据权利要求13所述的集成电路结构,其中所述硬掩模剩余物由氮化硅形成。
15.根据权利要求14所述的集成电路结构,其中外延地生长所述硅锗碳区域。
16.一种集成电路结构,包括:
在衬底上的n型区域和p型区域二者之上的栅极电极;
与在所述p型区域之上的所述栅极电极相邻的硅锗(SiGe)区域;
在所述p型区域上的结构之上的图案化硬掩模;
用于在所述n型区域之上的所述栅极电极上的侧壁间隔物上的所述硬掩模的材料的剩余物;以及
与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的包含至少硅和锗的区域。
17.根据权利要求16所述的集成电路结构,其中与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的包含至少硅和锗的所述区域是与在所述p型区域之上的所述栅极电极相邻的所述硅锗区域并行形成的硅锗区域。
18.根据权利要求16所述的集成电路结构,其中与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的包含至少硅和锗的所述区域是在所述硬掩模剩余物之下外延生长的硅锗碳(Si(Ge)(C))区域。
19.根据权利要求18所述的集成电路结构,其中所述硬掩模由氮化硅形成。
20.根据权利要求19所述的集成电路结构,其中与在所述n型区域之上的所述栅极电极相邻并且在所述硬掩模剩余物之下的包含至少硅和锗的所述区域是在所述侧壁间隔物与从相接区域分离所述n型区域的隔离区域之间的区域。
CN201310444504.8A 2012-11-16 2013-09-23 用于平面衬底的双外延cmos集成 Active CN103824812B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/679,434 US8836041B2 (en) 2012-11-16 2012-11-16 Dual EPI CMOS integration for planar substrates
US13/679,434 2012-11-16

Publications (2)

Publication Number Publication Date
CN103824812A true CN103824812A (zh) 2014-05-28
CN103824812B CN103824812B (zh) 2018-06-22

Family

ID=50727154

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310444504.8A Active CN103824812B (zh) 2012-11-16 2013-09-23 用于平面衬底的双外延cmos集成
CN201320593791.4U Expired - Lifetime CN203659863U (zh) 2012-11-16 2013-09-23 集成电路结构

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201320593791.4U Expired - Lifetime CN203659863U (zh) 2012-11-16 2013-09-23 集成电路结构

Country Status (2)

Country Link
US (2) US8836041B2 (zh)
CN (2) CN103824812B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109950256A (zh) * 2019-03-29 2019-06-28 上海华力集成电路制造有限公司 改善fdsoi pmos结构且提高mos器件性能的方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US11008505B2 (en) 2013-01-04 2021-05-18 Carbo Ceramics Inc. Electrically conductive proppant
AU2014204024B2 (en) 2013-01-04 2017-10-12 Carbo Ceramics Inc. Electrically conductive proppant and methods for detecting, locating and characterizing the electrically conductive proppant
US9553093B1 (en) 2015-12-11 2017-01-24 International Business Machines Corporation Spacer for dual epi CMOS devices
US9443855B1 (en) 2015-12-15 2016-09-13 International Business Machines Corporation Spacer formation on semiconductor device
US10388576B2 (en) * 2016-06-30 2019-08-20 International Business Machines Corporation Semiconductor device including dual trench epitaxial dual-liner contacts
WO2018052473A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Contact integration and selective silicide formation methods
US20190051565A1 (en) * 2017-08-10 2019-02-14 Globalfoundries Inc. Cmos devices and manufacturing method thereof
KR20200115762A (ko) * 2019-03-25 2020-10-08 삼성전자주식회사 반도체 소자

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1581508A (zh) * 2003-08-12 2005-02-16 台湾积体电路制造股份有限公司 半导体元件及其制造方法
CN101170112A (zh) * 2006-10-26 2008-04-30 富士通株式会社 半导体器件及其制造方法
CN101359685A (zh) * 2007-07-31 2009-02-04 国际商业机器公司 半导体器件及制作方法
US20120001254A1 (en) * 2010-06-30 2012-01-05 Globalfoundries Inc. Transistor With Embedded Si/Ge Material Having Reduced Offset and Superior Uniformity
US20120112208A1 (en) * 2010-11-09 2012-05-10 International Business Machines Corporation Stressed transistor with improved metastability
US20120241765A1 (en) * 2008-03-25 2012-09-27 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
CN203659863U (zh) * 2012-11-16 2014-06-18 意法半导体公司 集成电路结构

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
JP2002043576A (ja) * 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7166897B2 (en) * 2004-08-24 2007-01-23 Freescale Semiconductor, Inc. Method and apparatus for performance enhancement in an asymmetrical semiconductor device
US7271442B2 (en) * 2005-01-12 2007-09-18 International Business Machines Corporation Transistor structure having stressed regions of opposite types underlying channel and source/drain regions
US7132322B1 (en) * 2005-05-11 2006-11-07 International Business Machines Corporation Method for forming a SiGe or SiGeC gate selectively in a complementary MIS/MOS FET device
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US8159030B2 (en) * 2005-11-30 2012-04-17 Globalfoundries Inc. Strained MOS device and methods for its fabrication
US7488662B2 (en) * 2005-12-13 2009-02-10 Chartered Semiconductor Manufacturing, Ltd. Self-aligned vertical PNP transistor for high performance SiGe CBiCMOS process
US7608515B2 (en) * 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US7557010B2 (en) * 2007-02-12 2009-07-07 Agere Systems Inc. Method to improve writer leakage in a SiGe bipolar device
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
US7875520B2 (en) * 2008-03-27 2011-01-25 United Microelectronics Corp. Method of forming CMOS transistor
US8134204B2 (en) * 2008-08-06 2012-03-13 Texas Instruments Incorporated DEMOS transistors with STI and compensated well in drain
US8114727B2 (en) * 2008-08-29 2012-02-14 Texas Instruments Incorporated Disposable spacer integration with stress memorization technique and silicon-germanium
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
US7935593B2 (en) * 2009-02-05 2011-05-03 Samsung Electronics Co., Ltd. Stress optimization in dual embedded epitaxially grown semiconductor processing
US20100221938A1 (en) * 2009-02-27 2010-09-02 Santa Electronics Inc. Connector assembly for electronic cards and the preparation method thereof
US8299453B2 (en) * 2009-03-03 2012-10-30 International Business Machines Corporation CMOS transistors with silicon germanium channel and dual embedded stressors
US8101479B2 (en) * 2009-03-27 2012-01-24 National Semiconductor Corporation Fabrication of asymmetric field-effect transistors using L-shaped spacers
US8084309B2 (en) * 2009-08-17 2011-12-27 International Business Machines Corporation Extremely thin silicon on insulator (ETSOI) complementary metal oxide semiconductor (CMOS) with in-situ doped source and drain regions formed by a single mask
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8198194B2 (en) * 2010-03-23 2012-06-12 Samsung Electronics Co., Ltd. Methods of forming p-channel field effect transistors having SiGe source/drain regions
US8716798B2 (en) * 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US8546228B2 (en) * 2010-06-16 2013-10-01 International Business Machines Corporation Strained thin body CMOS device having vertically raised source/drain stressors with single spacer
US8237197B2 (en) * 2010-07-07 2012-08-07 International Business Machines Corporation Asymmetric channel MOSFET
US8574970B2 (en) * 2010-09-15 2013-11-05 International Business Machines Corporation Method of forming an extremely thin semiconductor insulator (ETSOI) FET having a stair-shaped raised source/drain
US8629014B2 (en) * 2010-09-20 2014-01-14 International Business Machines Corporation Replacement metal gate structures for effective work function control
US8435848B2 (en) * 2010-10-28 2013-05-07 Texas Instruments Incorporated PMOS SiGe-last integration process
US8466502B2 (en) * 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445969B2 (en) * 2011-04-27 2013-05-21 Freescale Semiconductor, Inc. High pressure deuterium treatment for semiconductor/high-K insulator interface
US9087741B2 (en) * 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
CN102983116B (zh) * 2011-09-07 2015-09-30 中国科学院微电子研究所 半导体衬底、具有该半导体衬底的集成电路及其制造方法
US20130175632A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Reduction of contact resistance and junction leakage
US20130175640A1 (en) * 2012-01-06 2013-07-11 Globalfoundries Inc. Stress enhanced mos transistor and methods for fabrication
US20150104914A1 (en) * 2012-02-07 2015-04-16 United Microelectronics Corp. Semiconductor process
CN103311281B (zh) * 2012-03-14 2016-03-30 中国科学院微电子研究所 半导体器件及其制造方法
US8664069B2 (en) * 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8673699B2 (en) * 2012-07-17 2014-03-18 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US9035365B2 (en) * 2013-05-02 2015-05-19 International Business Machines Corporation Raised source/drain and gate portion with dielectric spacer or air gap spacer
FR3005372B1 (fr) * 2013-05-06 2016-12-09 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de realisation d'un film en silicium-germanium a teneur en germanium variable
JP6271922B2 (ja) * 2013-09-10 2018-01-31 キヤノン株式会社 位置を求める方法、露光方法、露光装置、および物品の製造方法
JP6355313B2 (ja) * 2013-10-16 2018-07-11 キヤノン株式会社 リソグラフィ装置、電力供給方法、および物品の製造方法
US10464107B2 (en) * 2013-10-24 2019-11-05 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1581508A (zh) * 2003-08-12 2005-02-16 台湾积体电路制造股份有限公司 半导体元件及其制造方法
CN101170112A (zh) * 2006-10-26 2008-04-30 富士通株式会社 半导体器件及其制造方法
CN101359685A (zh) * 2007-07-31 2009-02-04 国际商业机器公司 半导体器件及制作方法
US20120241765A1 (en) * 2008-03-25 2012-09-27 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US20120001254A1 (en) * 2010-06-30 2012-01-05 Globalfoundries Inc. Transistor With Embedded Si/Ge Material Having Reduced Offset and Superior Uniformity
US20120112208A1 (en) * 2010-11-09 2012-05-10 International Business Machines Corporation Stressed transistor with improved metastability
CN203659863U (zh) * 2012-11-16 2014-06-18 意法半导体公司 集成电路结构

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109950256A (zh) * 2019-03-29 2019-06-28 上海华力集成电路制造有限公司 改善fdsoi pmos结构且提高mos器件性能的方法
CN109950256B (zh) * 2019-03-29 2020-11-24 上海华力集成电路制造有限公司 改善fdsoi pmos结构且提高mos器件性能的方法

Also Published As

Publication number Publication date
US9263343B2 (en) 2016-02-16
US20150011060A1 (en) 2015-01-08
US20140138775A1 (en) 2014-05-22
CN203659863U (zh) 2014-06-18
CN103824812B (zh) 2018-06-22
US8836041B2 (en) 2014-09-16

Similar Documents

Publication Publication Date Title
CN203659863U (zh) 集成电路结构
US10971406B2 (en) Method of forming source/drain regions of transistors
US9837415B2 (en) FinFET structures having silicon germanium and silicon fins with suppressed dopant diffusion
US8890245B2 (en) Raised source/drain structure for enhanced strain coupling from stress liner
US8546228B2 (en) Strained thin body CMOS device having vertically raised source/drain stressors with single spacer
US7759205B1 (en) Methods for fabricating semiconductor devices minimizing under-oxide regrowth
US9799750B2 (en) Semiconductor device and fabrication method thereof
US8610236B2 (en) Edge devices layout for improved performance
WO2011157461A1 (en) Fabrication of a vertical heterojunction tunnel-fet
CN103295904A (zh) 具有LDD延伸的FinFET设计
US20160247918A1 (en) Forming strained fins of different materials on a substrate
CN104217955A (zh) N型晶体管及其制作方法、互补金属氧化物半导体
US9543302B2 (en) Forming IV fins and III-V fins on insulator
US8642415B2 (en) Semiconductor substrate with transistors having different threshold voltages
US9224657B2 (en) Hard mask for source/drain epitaxy control
US20100330756A1 (en) Integrated circuit structure manufacturing methods using hard mask and photoresist combination
US20120315734A1 (en) Method for fabricating semiconductor device
CN105304491A (zh) 用于形成嵌入式锗硅的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant