CN103684741A - 用于通信加密的多混沌吸引子分时切换方法及切换装置 - Google Patents

用于通信加密的多混沌吸引子分时切换方法及切换装置 Download PDF

Info

Publication number
CN103684741A
CN103684741A CN201310631579.7A CN201310631579A CN103684741A CN 103684741 A CN103684741 A CN 103684741A CN 201310631579 A CN201310631579 A CN 201310631579A CN 103684741 A CN103684741 A CN 103684741A
Authority
CN
China
Prior art keywords
fpga
pin
chaos
chip
chip microcomputer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310631579.7A
Other languages
English (en)
Other versions
CN103684741B (zh
Inventor
康守强
王玉静
杨广学
张建广
朱建良
宋立新
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Harbin University of Science and Technology
Original Assignee
Harbin University of Science and Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Harbin University of Science and Technology filed Critical Harbin University of Science and Technology
Priority to CN201310631579.7A priority Critical patent/CN103684741B/zh
Publication of CN103684741A publication Critical patent/CN103684741A/zh
Application granted granted Critical
Publication of CN103684741B publication Critical patent/CN103684741B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

用于通信加密的多混沌吸引子分时切换方法及切换装置,属于通信加密技术领域。解决了现有的多混沌吸引子切换软件系统存在无法实现不同混沌系统吸引子的快速分时切换的问题。在FPGA中构造切换混沌系统,由软键盘输入分系统控制字符给单片机,单片机将分系统控制字符转化为分系统切换控制信号传递给FPGA,FPGA根据分系统切换控制信号选择切换混沌系统中的一个分系统,再由软键盘输入分相控制字符给单片机,单片机将分相控制字符转化为分相切换控制信号传递给FPGA,FPGA根据分相切换控制信号选择上述选定分系统的三相或四相中的某两相输出。提供时变性、多样性和复杂性的混沌信号。增强了加密效果和抗破译能力,实现了任意时刻、快速的多混沌吸引子的分时切换。

Description

用于通信加密的多混沌吸引子分时切换方法及切换装置
技术领域
本发明涉及一种用于通信加密的多混沌吸引子分时切换方法及切换装置,属于通信加密技术领域。
背景技术
混沌作为一种复杂的非线性现象,过去的几十年里在科学及工程应用等领域得到了极大的关注。目前国内外的相关文献已经报道了许多由模拟电路([1]、刘扬正,姜长生.关联可切换超混沌系统的构建与特性分析[J].物理学报,2009,58(2):771-778;[2]、孙友林,伍俊杰,陈君.两个超混沌系统自动切换电路的设计和仿真[J].现代电子技术,2011,34(22):191-193+198;[3]、赵莉,唐文.基于Simulink的Dynamos混沌系统仿真[J].现代电子技术,2012,35(4):7-8+12)或数字电路([4]、周武杰,禹思敏.基于IEEE2754标准和现场可编程门阵列技术的混沌产生器设计与实现[J].物理学报,2008,57(8):4738-4747;[5]、刘扬正,林长圣,李心朝.切换统一混沌系统族[J].物理学报,2011,60(4):79-87;[6]、王忠林,黄娜.一个自动切换混沌系统的设计与FPGA实现[J].中国海洋大学学报:自然科学版,2010,40(4):111-114;[7]、WANG Guangyi,BAO Xulei,WANG Zhonglin.Design and FPGA implementation of a new hyperchaoticsystem[J].Chinese physics B,2008,17(10):3596-3602;[8]、王忠林.混沌吸引子及FPGA实现[J].计算机工程与应用,2008,44(36):85-86+94)来产生的混沌系统,它们在不同的应用领域存在不同的优缺点。但模拟电路对元件固有参数及信号的再生误差很敏感,使得混沌通信中对混沌状态的控制和同步比较困难。
多混沌吸引子切换系统,因其系统随机性强,可产生更加时变、多样和复杂的混沌信号,提高其应用的效果。例如可提高混沌信息加密的安全性,且其抗破译能力强于一般的混沌系统([9]、林彩霞,郝建红.基于混沌切换系统的语音加密[J].动力学与控制学报,2008,6(3):254-259)。研究多混沌吸引子切换系统具有重要的理论和实际应用价值([10]、刘扬正,姜长生,林长圣等.四维切换超混沌系统[J].物理学报,2007,56(9):5131-5132;[11]、杜睿山,尚福华,李阳.复合混沌映射在语音算法加密中的应用[J].计算机工程与应用,2009,45(7):103-104+107)。
目前有文献报道实现了切换混沌系统,方法不尽相同。实现切换混沌系统可以利用变参数切换的方法、变结构切换方法。统一混沌系统是利用变参数切换的方法实现的,随着参数的改变,系统在Lü系统、广义Lorenz系统、广义Chen系统之间切换([12]、GeZhengming,Yang Kunwei.Chaotic ranges of a unified chaotic system and its chaosfor five periodic switch cases[J].Chaos,Soliton and Fractals,2007,33(1):246-269;[13]、刘扬正,林长圣,李心朝等.Logistic-Unified混杂混沌系统[J].物理学报,2011,60(3):101-106)。文献[10]采用系统选择器、模拟开关的手动切换,通过模拟电路能实现多个关联子系统的功能;文献[6]通过修改混沌系统中的非线性项,构造开关函数,使函数变量取不同值时非线性项不同,构成了开关混沌系统;文献([14]、张成亮,胡春华,王忠林.三系统自动切换混沌电路的设计与实现[J].山东大学学报:理学版,2012,547(8):108-113+121)利用两相信号正负来决定系统的非线性项,结合模拟开关控制,实现多个子系统组成的自动切换的混沌系统。无论是变参数的切换、变结构的切换还是二者相结合,都可以依赖于时间的切换。文献([15]、刘扬正,林长圣,王忠林.新的切换四涡卷超混沌系统及其电路实现[J].物理学报,2010,59(12):8407-8413)构建了一个切换四涡卷超混沌系统,系统以时间依赖切换来选择不同的混沌子系统,通过人为操作模拟电路中控制开关K选择线性不同的反馈项,实现子系统随时间切换的功能。综上,现有的多混沌吸引子切换软件系统的切换不灵活、无法实现不同混沌系统吸引子的快速分时切换的问题。
发明内容
本发明为了解决现有的多混沌吸引子切换软件系统存在无法实现不同混沌系统吸引子的快速分时切换的问题,进而提供了一种用于通信加密的多混沌吸引子分时切换方法及切换装置。
本发明为解决上述技术问题采取的技术方案是:
一种用于通信加密的多混沌吸引子分时切换方法,所述方法的具体实现过程为:
步骤一、在FPGA中构造切换混沌系统,切换混沌系统由分系统Lorenz混沌系统s1,j和四维超混沌系统s2,j构成;
所述分时切换以Lorenz混沌系统s1,j和四维超混沌系统s2,j作为分系统,分时切换输出Outj
Outj=si,j,s.t.ti,j
式中,i=1,2,时间段t1,j对应Lorenz混沌分系统s1,j,j表示该系统的不同变量,j=1,2,3;
时间段t2,j对应四维超混沌分系统s2,j,j表示该系统的不同变量,j=4,5,6,7;
以上述两个分系统构成的混沌分时切换系统能产生多路不同的混沌信号输出,并能连续地从一个分系统的任意一个变量切换到另外一个分系统的任意一个变量;
步骤二、切换过程:
由软键盘输入分系统控制字符给单片机,单片机将分系统控制字符转化为分系统切换控制信号传递给FPGA,FPGA根据分系统切换控制信号选择切换混沌系统中的一个分系统,
再由软键盘输入分相控制字符给单片机,单片机将分相控制字符转化为分相切换控制信号传递给FPGA,FPGA根据分相切换控制信号选择上述选定分系统的三相或四相中的某两相输出。
在FPGA中构造切换混沌系统的具体实现过程为:
将Lorenz混沌系统s1,j进行离散化,构建Lorenz混沌系统在DSP Builder中对应的结构框图,并由所述结构框图生成Lorenz混沌系统s1,j对应的VHDL语言程序(原理图模块);
将四维超混沌系统s2,j进行离散化,构建四维超混沌系统s2,j在DSP Builder中对应的结构框图;并由所述结构框图生成四维超混沌系统s2,j对应的VHDL语言程序(原理图模块)。
Lorenz混沌系统s1,j和四维超混沌系统s2,j离散化的具体过程为:
用FPGA构造混沌系统,首先利用快速数字差分算法将混沌系统的连续微分方程组转换为离散的差分方程组;采用快速的Euler算法,用离散化公式(1)对连续混沌方程进行离散化,将微分方程组转化为差分方程组;
dx dt = x ( n + 1 ) - x ( n ) ΔT - - - ( 1 )
针对Lorenz混沌系统:
Lorenz混沌系统的微分方程描述为:
dx ( t ) = a ( y ( t ) - x ( t ) ) dy ( t ) = cx ( t ) - x ( t ) z ( t ) - y ( t ) dz ( t ) = x ( t ) y ( t ) - bz ( t ) - - - ( 2 )
其中,a=10、b=8/3、c=28,初值为x(0)=1、y(0)=1、z(0)=1时,系统为复杂的混沌系统;
由(1)式可知,Lorenz混沌系统对应的差分方程组为:
x(n+1)=a△T(y(n)-x(n))+x(n)
y(n+1)=c△Tx(n)-△Tx(n)z(n)+(1-△T)y(n)                  (3)
z(n+1)=△Tx(n)y(n)+(1-b△T)z(n)
当△T取足够小时,(2)式和(3)式具有相同的动态特性;取△T=0.00005,则(3)式变为:
x(n+1)=0.9995x(n)+0.0005y(n)
y(n+1)=0.99995y(n)+0.0014x(n)-0.00005x(n)z(n)             (4)
z(n+1)=-0.33333z(n)+0.00005x(n)y(n)
针对四维超混沌系统:
四维超混沌系统的微分方程描述为:
dx ( t ) = - ax ( t ) + ( y ( t ) + 1 ) z ( t ) dy ( t ) = by ( t ) - x ( t ) z ( t ) dz ( t ) = x ( t ) y ( t ) - cz ( t ) - gw ( t ) dw ( t ) = - ex ( t ) - - - ( 5 )
其中,a=10、b=2.5、c=4、e=0.25、g=2,初值x(0)=1、y(0)=1、z(0)=1、w(0)=1时,系统为复杂的超混沌系统;
四维超混沌系统对应的差分方程组为:
x(n+1)=0.9995x(n)+0.00005(y(n)+1)z(n)
y(n+1)=0.999875y(n)-0.00005x(n)z(n)
z(n+1)=0.00005x(n)y(n)+0.9998z(n)-0.9999w(n)             (6)
w(n+1)=-0.9999875x(n)+w(n)
一种用于通信加密的多混沌吸引子分时切换装置,所述分时切换装置包括电源电路、单片机、USB下载芯片、软键盘、FPGA、ASP下载接口、JTAG下载接口、液晶显示器、第一路数模转换器(DAC1)、第二路数模转换器(DAC2);电源电路用于为单片机和FPGA供电;软键盘的输出端连接单片机的数据总线P1口,单片机的控制字符信号输出端连接FPGA的控制字符信号输入端,FPGA的混沌信号两路输出端分别连接第一路数模转换器(DAC1)的数据信号输入端、第二路数模转换器(DAC2)的数据信号输入端,第一路数模转换器(DAC1)和第二路数模转换器(DAC2)的输出端输出的信号均为电压信号(即混沌信号);单片机的当前混沌系统信息输出端(数据总线PO口)连接液晶显示器,USB下载芯片与单片机的程序下载接口连接;FPGA上接有ASP下载接口和JTAG下载接口。
所述第一路数模转换器(DAC1)和第二路数模转换器(DAC2)结构相同,第一路数模转换器(DAC1)由14位DAC904E芯片和运放电路构成,所述运放电路包括OPA690芯片、反馈电阻R30、电压信号输出口(OUT1)、接地电阻R34和接地电阻R35,OPA690芯片的+IN引脚连接DAC904E芯片的IOUT-引脚,OPA690芯片的-IN引脚连接DAC904E芯片的IOUT+引脚,电压信号输出口(OUT1)连接OPA690芯片的OUT引脚,反馈电阻R30的一端连接OPA690芯片的-IN引脚,反馈电阻R30的另一端连接OPA690芯片的OUT引脚;接地电阻R34的一端连接DAC904E芯片的IOUT-引脚,另一端接地;接地电阻R35的一端连接DAC904E芯片的IOUT+引脚,另一端接地。
单片机的P43引脚连接FPGA的IO103引脚,单片机的P32引脚连接FPGA的IO101引脚,单片机的P33引脚连接FPGA的IO100引脚,单片机的P34引脚连接FPGA的IO99引脚,单片机的P35引脚连接FPGA的IO98引脚。
USB下载芯片的RXD引脚连接单片机的TXD/P31引脚,USB下载芯片的TXD引脚连接单片机的RXD/P30引脚。
用于通信加密的多混沌吸引子分时切换方法和切换装置(软件和硬件)合在一起,才能获得用于通信加密的混沌信号,才能够实现本发明的发明目的。
本发明的有益效果是:
本发明利用单片机控制FPGA实现了不同混沌系统吸引子的产生和快速分时切换,即采用数字电路,在一个电路系统中实现多个混沌系统,并可实现不同的混沌系统间及系统的不同变量之间的随机和快速分时切换,增加了混沌信号的多样性、时变性、选择性和灵活性。不同的混沌系统切换相比改变某个参数或变量的混沌子系统切换所形成的整体混沌信号具有差异性大的特点。因此,也增加了混沌信号的复杂性。
本发明从多个混沌系统切换的角度出发,实现了任意时刻、快速的多混沌吸引子的分时切换系统,增强了加密效果和抗破译能力。本发明中利用离散化的混沌方程,在MATLAB中结合DSP Builder构架出多混沌系统框图,继而生成系统框图对应的VHDL语言程序和用于Modelsim的仿真文件。根据构造的多混沌分时切换表达式,对VHDL语言程序进行完善及单片机程序的编写,最终利用单片机与FPGA的通信,实现了一种多混沌吸引子分时切换系统。通过实验验证,不仅可以实现同一混沌系统相平面之间的混沌吸引子切换,而且还可以实现多个混沌系统吸引子之间的快速分时切换。从而可为混沌加密提供更具有时变性、多样性和复杂性的混沌信号。本发明具有加密效果好、抗破译能力强等优点,完全适用于通信加密。
附图说明
图1为DSP Builder中Lorenz混沌系统结构框图;图2为离散化后的Lorenz混沌系统中的各吸引子相图,其中:图2(a)为x-y平面相图,图2(b)为x-z平面相图,图2(c)为y-z平面相图;图3为离散化后的四维混沌系统各吸引子相图,其中:图3(a)为x-y平面相图,图3(b)为x-z平面相图,图3(c)为y-z平面相图;图4为D/A转换模块原理图,其中:图4(a)为DAC904E芯片外围电路图,图4(b)为OPA690芯片外围电路图;图5为FPGA时钟模块电路图,图6为FPGA复位模块电路电,图7为JTAG模式原理图,图8是ASP模式原理图及专用FLASH接口图,图8(a)为ASP模式原理图,图8(b)为ASP模式专用FLASH接口图;图9为PLL模块原理图;图10为多混沌吸引子切换系统框图;图11为单片机与LCD、键盘接口图;图12为5V转3.3V电路设计图;图13为+5V转-5V电路设计图;图14为不同混沌系统间分时切换的系统原理图输入设计图;图15为示波器输出的三维、四维混沌吸引子相图,其中:图15(a)为Lorenz混沌系统y-x平面相图,图15(b)为Lorenz混沌系统x-z平面相图,图15(c)为Lorenz混沌系统z-y平面相图,图15(d)为四维混沌系统x-y平面相图,图15(e)为四维混沌系统y-z平面相图,图15(f)为四维混沌系统y-w平面相图。
具体实施方式
如图1~15所示,本实施方式给出了用于通信加密的多混沌吸引子分时切换获得混沌信号的实现过程为:
1、混沌数学模型及离散化
为了用FPGA构造混沌系统,首先利用快速数字差分算法将混沌系统的连续微分方程组转换为离散的差分方程组。采用快速的Euler算法,用离散化公式(1)对连续混沌方程进行离散化,将微分方程组转化为差分方程组:
dx dt = x ( n + 1 ) - x ( n ) ΔT - - - ( 1 )
1.1Lorenz混沌系统
Lorenz混沌系统的微分方程描述为:
dx ( t ) = a ( y ( t ) - x ( t ) ) dy ( t ) = cx ( t ) - x ( t ) z ( t ) - y ( t ) dz ( t ) = x ( t ) y ( t ) - bz ( t ) - - - ( 2 )
其中,a=10、b=8/3、c=28,初值为x(0)=1、y(0)=1、z(0)=1时,该系统为复杂的混沌系统。
由(1)式可知,Lorenz混沌系统对应的差分方程组为:
x(n+1)=a△T(y(n)-x(n))+x(n)
y(n+1)=c△Tx(n)-△Tx(n)z(n)+(1-△T)y(n)                   (3)
z(n+1)=△Tx(n)y(n)+(1-b△T)z(n)
当△T取足够小时,(2)式和(3)式具有相同的动态特性。这里取△T=0.00005[7],则(3)式变为:
x(n+1)=0.9995x(n)+0.0005y(n)
y(n+1)=0.99995y(n)+0.0014x(n)-0.00005x(n)z(n)              (4)
z(n+1)=-0.33333z(n)+0.00005x(n)y(n)
1.2四维超混沌系统
2008年王忠林等人提出了一个四维的超混沌系统([16]、王忠林,姚福安,李祥峰.基于FPGA的一个超混沌系统设计与电路实现[J].山东大学学报,2008,43(12):93-96),四维超混沌系统的微分方程描述为:
dx ( t ) = - ax ( t ) + ( y ( t ) + 1 ) z ( t ) dy ( t ) = by ( t ) - x ( t ) z ( t ) dz ( t ) = x ( t ) y ( t ) - cz ( t ) - gw ( t ) dw ( t ) = - ex ( t ) - - - ( 5 )
其中,a=10、b=2.5、c=4、e=0.25、g=2,初值x(0)=1、y(0)=1、z(0)=1、w(0)=1时,该系统为复杂的超混沌系统。
同理四维超混沌系统对应的差分方程组为:
x(n+1)=0.9995x(n)+0.00005(y(n)+1)z(n)
y(n+1)=0.999875y(n)-0.00005x(n)z(n)
z(n+1)=0.00005x(n)y(n)+0.9998z(n)-0.9999w(n)              (6)
w(n+1)=-0.9999875x(n)+w(n)
2、离散混沌模型的仿真过程
利用MATLAB结合DSP Builder进行波形仿真,首先根据式(4)建立Lorenz混沌系统对应DSP Builder中的系统框图。如图1所示为Lorenz混沌系统对应的DSP Builder结构框图。
图1中PLL为锁相环,通过设置可以实现对输入时钟的分频或倍频。在本设计中,利用锁相环电路对输入时钟进行10分频,用于DAC模块的时钟输入。如图2所示是Lorenz混沌系统部分吸引子在DSP Builder中的仿真相图。
同理可根据式(6)建立四维混沌系统对应DSP Builder中的系统框图及对应的DSPBuilder结构框图。该混沌系统部分吸引子在DSP Builder中的仿真相图如图3所示。上述仿真均说明各混沌系统的离散模型正确。
3、多混沌吸引子分时切换系统的设计
本系统以Lorenz混沌系统(记为s1,j)和四维超混沌系统(记为s2,j)作为分系统,分时切换输出:
Outj=si,j,s.t.ti,j                 (7)式中,i=1,2,时间段t1,j对应Lorenz混沌分系统s1,j(j表示该系统的不同变量,j=1,2,3);时间段t2,j对应四维超混沌分系统s2,j(j表示该系统的不同变量,j=4,5,6,7)。
因此,以这两个分系统构成的混沌分时切换系统可产生9个不同的输出,可连续地从一个分系统的任意一个变量切换到另外一个分系统的任意一个变量。根据实际需要可设置多路输出,以2路为例,系统的两路输出可产生的不同变量之间的二维混沌吸引子。
3.1硬件设计过程
本文中的D/A转换模块采用的是TI公司的数模转换芯片DAC904E和差分运算放大器OPA690。由于DAC904E输出的是差分电流,所以需要运算放大器将电流转换为电压输出。DAC904E是14位的D/A转换芯片,转换速度快,精度高。用OPA690对DAC904E的输出电流进行差分放大,输出正负可调的电压值,即可完成数模转换功能。D/A转换模块原理图设计如图4所示。
如图4所示AD1~AD14为14位数模转换位,FPGA产生的数字信号直接接至DAC90E的1-14引脚,同时电源、地、时钟连接好,电源做好滤波、数字地模拟地通过0Ω电阻隔离。DAC904E输出的电流信号呈差分形式,经过电阻匹配、分压后进入OPA690。
本文中选择的FPGA是Cyclone系列,型号为EP3C25E144C8。该器件核电压为1.2V,共有逻辑单元22320个,用户I/O接口80个,存储位608256个,132个9位的嵌入式乘法器,锁相环4个,全局时钟10个。采用JTAG接口或ASP接口模式下载,外接50MHz晶振,片外接有16Mbit专用存储芯片。
首先介绍FPGA的时钟模块,时钟是FPGA工作的必须条件,用50MHz的晶振产生时钟源作为驱动时钟。其电路如图5所示:
如图5所示为两个时钟模块,一个即可使FPGA正常工作,另一个为备用模块,CLK5为FPGA的一个全局时钟。
接下来介绍FPGA的复位模块,考虑到电路的稳定性,工作的可控性,在电路板中一般都设定复位模块,来实现硬件的异常复位或重新开始工作。具体电路图如图6所示:
在图6中RST为FPGA的一个全局时钟输入IO口,相对一般IO口而言能够达到更大的同步性以及可控性。
下载模块中设计了JTAG和ASP两种下载模式,其中JTAG烧写模式是将程序下载到FPGA内部SRAM中,掉电后消失。JTAG烧写模式适用于目标板调试的时候使用;ASP烧写模式是将程序下载到FPGA外扩的专用FLASH中,下载后需重新启动FPGA,之后FPGA一上电就会自动将FLASH中的程序加载到FPGA的SRAM中。所以ASP烧写模式适合在系统已经成型,需要将程序固化到FLASH的时候使用。设计原理图如图7所示:
图7中TDI负责JTAG指令和数据的串行输入,外接一个下拉电阻以保证在引脚未被驱动前是低电平。TDI在TCK上升沿时输入到JTAG寄存器中。TDO负责JTAG指令和数据的串行输出,TDO在TCK的每个下降沿改变状态,并只在移位指令或数据时有效。TMS是测试模式选择引脚,TCK为测试时钟输入。
如图8中DATA0为配置数据流的输入;DCLK为配置时钟的输入;nCONFIG为配置控制管脚,低电平复位器件,高电平启动配置过程。CONF_DONE为状态位,在配置完成后,器件置其为高电平。nCE为低时使能配置过程,nCSO在配置完成后输出为低。
本款芯片内置4个PLL,用来锁相产生符合要求的频率,增加频率稳定性和可靠性。设计原理图如图9所示:
本文中利用PLL模块对输入时钟进行10分频,得到两路D/A转换模块所需时钟。时钟频率稳定,幅值平稳。
单片机选择高速、低功耗和超强抗干扰的STC89LE52RC,指令代码完全兼容传统的8051单片机,12时钟/机器周期和6时钟/机器周期可以任意选择。为了实现不同混沌系统之间的切换,由单片机提供控制字,完成单片机与FPGA之间的通信。单片机电路设计主要是由供电模块、显示部分、控制部分和下载部分组成,下面对这几部分分别进行详细介绍。多混沌吸引子切换系统整体框图如图10所示:
图10中,P34和P35是与FPGA固定相连的,其余三条则是根据需要来选择接通还是断开。FPGA利用JTAG和ASP可实现两种方式进行下载。DAC选择14位的DAC904E,两个DAC模块则分别与FPGA的14个通用IO口相连用于输出模拟的混沌吸引子。
液晶模块设计采用LCD5110,是NOKIA公司生产的可用于移动电话的液晶显示模块,也可广泛应用于各类便携式设备的显示系统。与其它类型的LCD相比,该模块具有以下特点:(1)84x48的点阵LCD,可以显示4行汉字。(2)采用串行接口与主处理器进行通信,接口信号线数量大幅度减少,包括电源和地在内的信号线仅有9条。支持多种串行通信协议,传输速率高达4Mbps,可全速写入显示数据,无等待时间。(3)可通过导电胶连接模块与印制版,而不用连接电缆,用模块上的金属钩可将模块固定到印制板上,因而非常便于安装和更换。(4)LCD控制器/驱动器芯片已绑定到LCD晶片上,模块的体积很小。(5)采用低电压供电,正常显示时的工作电流在200μA以下,且具有掉电模式。选用4×4的软件盘,与单片机的P1口相连接。单片机与LCD、键盘接口如图11所示:
如图11中RST为复位引脚;CE为片选引脚;DC为数据和指令切换引脚;DIN为数据和指令输入引脚;CLK为时钟信号输入引脚;VCC为电源引脚,此处用3.3V供电;LIGHT为背光点亮引脚;GND为接地引脚。
单片机部分传递控制字是通过按键控制的。以P10~P13作为键盘的行,以P14~P17作为键盘的列,实现键盘扫描,能够实现多混沌系统的分时切换。用PL2303芯片实现对电路的5V供电和单片机的程序下载。将P00~P04与LCD5110液晶相连接,实现当前混沌系统信息的输出。
系统外部电源是由USB提供的,电压为5V。但是,单片机需要3.3V供电,FPGA需要1.2V、2.5V和3.3V供电,而且D/A转换模块需要-5V的电压供电。所以,需要利用电压转换芯片来获得所需电压值。
本文中选用的电压转换芯片有AMS1117-3.3、AMS1117-2.5、AMS1117-1.2和ICL7660,其中前三个分别是由5V转3.3V、2.5V和1.2V,ICL7660是由+5V转-5V。具体的电路设计如图12所示:
AMS1117系列芯片的电路设计基本相同,图12中以5V转3.3V为例说明了电压转换电路设计,电容可以起到稳定电压输出和滤波的作用。
图13中放置六脚开关是为了防止D/A转换模块在没有时钟的情况下接通电源,否则D/A转换模块可能因为没有时钟而被烧坏。其中并联的104电容为去耦电容,为高频信号提供回流路径,去除高频耦合,满足驱动电路电流的变化,避免相互间的耦合干扰;同时也有滤波的作用,提供更加稳定的电源。
3.2软件设计过程
用Modelsim进行功能仿真后,从得到的VHDL语言程序生成对应分混沌系统的QuartusⅡ原理图输入模块,用于整体的QuartusⅡ原理图输入设计。利用QuartusⅡ软件进行原理图输入设计、分析、综合、适配和下载。通过高速数模转换芯片,就可以利用示波器观察模拟混沌信号。用Keil uVision4软件对单片机程序进行设计、编译和下载。单片机和FPGA的通信采用串行接口,接口信号线数量大幅度减少。通过键盘输入控制不同混沌系统信号的分时切换,采用液晶屏5110显示混沌系统信息。如图14所示为QuartusⅡ中不同混沌系统之间的分时切换系统原理图输入设计。
图14中lorenz_GN模块和siwei_GN模块是分别经过DSP Builder生成的Lorenz混沌系统和四维混沌系统对应VHDL语言程序的原理图模块;shiftre模块是一个移位寄存器模块,用于串行接收单片机传送的控制字;mux21a模块为不同混沌系统之间的选择模块;CONTROL模块是用于通过判断控制字来决定输出选定混沌系统某一平面混沌吸引子相图。
具体工作原理:shiftin输入端口在时钟输入mcu_clk的作用下将控制字移入移位寄存器。首先,mux21a模块根据控制字的不同选择输出某个混沌系统的吸引子相图。然后,再次输入控制字,移位寄存器将控制字传递给控制模块,由控制模块判断应该将选定混沌系统的某一平面混沌吸引子相图输出。其中PLL_clk1_out与PLL_clk0_out用于向DAC模块提供时钟。
4、实验结果与分析
如图15所示是通过单片机控制,在示波器上观察到的部分不同变量之间的二维混沌吸引子相图。由图15可见,基于单片机和FPGA实现的多混沌吸引子切换系统产生的混沌吸引子清晰、饱满。进一步分析可知,本文设计的分时切换系统是通过单片机进行控制的,切换灵活,组合的方式变化多样。由于采用完全不同的混沌进行分时切换,在整段时间上所形成的混沌信号,相比于一个系统的改变(某个参数或变量的不同子系统之间切换)所形成的混沌信号更加复杂。因而使系统的预测重构难度增强,应用到切换混沌同步的保密通讯,可以进一步增强了混沌保密通信的安全性能。
本文的多混沌吸引子分时切换系统运用单片机技术结合FPGA技术,基于构造的多混沌分时切换表达式,通过巧妙的软件设计和简易的硬件电路,控制数字式混沌信号的产生,可以方便的在同一个混沌系统中对其相图进行分时切换,同时也可以在多个完全不同混沌系统之间进行分时快速切换。实验结果与仿真结果完全一致。事实上,本系统设计方法还可应用到其他混沌系统,可以扩展到更多混沌系统的切换。并且切换的方式也可以根据信源(欲加密信号)特点设置开关函数实现多个混沌变量的分时输出,在整个时间上获取具有时变性、多样性和复杂性特点的混沌信号,以实现信源的加密,增强加密效果和抗破译能力。

Claims (7)

1.一种用于通信加密的多混沌吸引子分时切换方法,其特征在于,所述方法的具体实现过程为:
步骤一、在FPGA中构造切换混沌系统,切换混沌系统由分系统Lorenz混沌系统s1,j和四维超混沌系统s2,j构成;
所述分时切换以Lorenz混沌系统s1,j和四维超混沌系统s2,j作为分系统,分时切换输出Outj
Outj=si,j,s.t.ti,j
式中,i=1,2,时间段t1,j对应Lorenz混沌分系统s1,j,j表示该系统的不同变量,j=1,2,3;时间段t2,j对应四维超混沌分系统s2,j,j表示该系统的不同变量,j=4,5,6,7;
以上述两个分系统构成的混沌分时切换系统能产生多路不同的混沌信号输出,并能连续地从一个分系统的任意一个变量切换到另外一个分系统的任意一个变量;
步骤二、切换过程:
由软键盘输入分系统控制字符给单片机,单片机将分系统控制字符转化为分系统切换控制信号传递给FPGA,FPGA根据分系统切换控制信号选择切换混沌系统中的一个分系统,
再由软键盘输入分相控制字符给单片机,单片机将分相控制字符转化为分相切换控制信号传递给FPGA,FPGA根据分相切换控制信号选择上述选定分系统的三相或四相中的某两相输出。
2.根据权利要求1所述的一种用于通信加密的多混沌吸引子分时切换方法,其特征在于:在FPGA中构造切换混沌系统的具体实现过程为:将Lorenz混沌系统s1,j进行离散化,构建Lorenz混沌系统在DSP Builder中对应的结构框图,并由所述结构框图生成Lorenz混沌系统s1,j对应的VHDL语言程序;将四维超混沌系统s2,j进行离散化,构建四维超混沌系统s2,j在DSP Builder中对应的结构框图;并由所述结构框图生成四维超混沌系统s2,j对应的VHDL语言程序。
3.根据权利要求2所述的一种用于通信加密的多混沌吸引子分时切换方法,其特征在于:Lorenz混沌系统s1,j和四维超混沌系统s2,j离散化的具体过程为:
用FPGA构造混沌系统,首先利用快速数字差分算法将混沌系统的连续微分方程组转换为离散的差分方程组;采用快速的Euler算法,用离散化公式(1)对连续混沌方程进行离散化,将微分方程组转化为差分方程组;
dx dt = x ( n + 1 ) - x ( n ) ΔT - - - ( 1 )
针对Lorenz混沌系统:
Lorenz混沌系统的微分方程描述为:
dx ( t ) = a ( y ( t ) - x ( t ) ) dy ( t ) = cx ( t ) - x ( t ) z ( t ) - y ( t ) dz ( t ) = x ( t ) y ( t ) - bz ( t ) - - - ( 2 )
其中,a=10、b=8/3、c=28,初值为x(0)=1、y(0)=1、z(0)=1时,系统为复杂的混沌系统;
由(1)式可知,Lorenz混沌系统对应的差分方程组为:
x(n+1)=a△T(y(n)-x(n))+x(n)
y(n+1)=c△Tx(n)-△Tx(n)z(n)+(1-△T)y(n)                (3)
z(n+1)=△Tx(n)y(n)+(1-b△T)z(n)
当△T取足够小时,(2)式和(3)式具有相同的动态特性;取△T=0.00005,则(3)式变为:
x(n+1)=0.9995x(n)+0.0005y(n)
y(n+1)=0.99995y(n)+0.0014x(n)-0.00005x(n)z(n)                (4)
z(n+1)=-0.33333z(n)+0.00005x(n)y(n)
针对四维超混沌系统:
四维超混沌系统的微分方程描述为:
dx ( t ) = - ax ( t ) + ( y ( t ) + 1 ) z ( t ) dy ( t ) = by ( t ) - x ( t ) z ( t ) dz ( t ) = x ( t ) y ( t ) - cz ( t ) - gw ( t ) dw ( t ) = - ex ( t ) - - - ( 5 )
其中,a=10、b=2.5、c=4、e=0.25、g=2,初值x(0)=1、y(0)=1、z(0)=1、w(0)=1时,系统为复杂的超混沌系统;
四维超混沌系统对应的差分方程组为:
x(n+1)=0.9995x(n)+0.00005(y(n)+1)z(n)
y(n+1)=0.999875y(n)-0.00005x(n)z(n)
z(n+1)=0.00005x(n)y(n)+0.9998z(n)-0.9999w(n)             (6)
w(n+1)=-0.9999875x(n)+w(n)
4.一种用于通信加密的多混沌吸引子分时切换装置,其特征在于:所述分时切换装置包括电源电路、单片机、USB下载芯片、软键盘、FPGA、ASP下载接口、JTAG下载接口、液晶显示器、第一路数模转换器、第二路数模转换器;电源电路用于为单片机和FPGA供电;软键盘的输出端连接单片机的数据总线P1口,单片机的控制字符信号输出端连接FPGA的控制字符信号输入端,FPGA的混沌信号两路输出端分别连接第一路数模转换器的数据信号输入端、第二路数模转换器的数据信号输入端,第一路数模转换器和第二路数模转换器的输出端输出的信号均为电压信号;单片机的当前混沌系统信息输出端连接液晶显示器,USB下载芯片与单片机的程序下载接口连接;FPGA上接有ASP下载接口和JTAG下载接口。
5.根据权利要求4所述的一种用于通信加密的切换电路,其特征在于:所述第一路数模转换器和第二路数模转换器结构相同,第一路数模转换器由14位DAC904E芯片和运放电路构成,所述运放电路包括OPA690芯片、反馈电阻R30、电压信号输出口、接地电阻R34和接地电阻R35,OPA690芯片的+IN引脚连接DAC904E芯片的IOUT-引脚,OPA690芯片的-IN引脚连接DAC904E芯片的IOUT+引脚,电压信号输出口连接OPA690芯片的OUT引脚,反馈电阻R30的一端连接OPA690芯片的-IN引脚,反馈电阻R30的另一端连接OPA690芯片的OUT引脚;接地电阻R34的一端连接DAC904E芯片的IOUT-引脚,另一端接地;接地电阻R35的一端连接DAC904E芯片的IOUT+引脚,另一端接地。
6.根据权利要求4所述的一种用于通信加密的切换电路,其特征在于:单片机的P43引脚连接FPGA的IO103引脚,单片机的P32引脚连接FPGA的IO101引脚,单片机的P33引脚连接FPGA的IO100引脚,单片机的P34引脚连接FPGA的IO99引脚,单片机的P35引脚连接FPGA的IO98引脚。
7.根据权利要求4、5或6所述的一种用于通信加密的切换电路,其特征在于:USB下载芯片的RXD引脚连接单片机的TXD/P31引脚,USB下载芯片的TXD引脚连接单片机的RXD/P30引脚。
CN201310631579.7A 2013-12-02 2013-12-02 用于通信加密的多混沌吸引子分时切换方法及切换装置 Expired - Fee Related CN103684741B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310631579.7A CN103684741B (zh) 2013-12-02 2013-12-02 用于通信加密的多混沌吸引子分时切换方法及切换装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310631579.7A CN103684741B (zh) 2013-12-02 2013-12-02 用于通信加密的多混沌吸引子分时切换方法及切换装置

Publications (2)

Publication Number Publication Date
CN103684741A true CN103684741A (zh) 2014-03-26
CN103684741B CN103684741B (zh) 2016-08-17

Family

ID=50321140

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310631579.7A Expired - Fee Related CN103684741B (zh) 2013-12-02 2013-12-02 用于通信加密的多混沌吸引子分时切换方法及切换装置

Country Status (1)

Country Link
CN (1) CN103684741B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108365946A (zh) * 2018-01-31 2018-08-03 国网河南省电力公司潢川县供电公司 一种基于混沌系统阵列的能源互联网通信安全系统与方法
CN113433539A (zh) * 2021-06-22 2021-09-24 哈尔滨工程大学 一种基于混沌检测的水下电流场探测系统及方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100666695B1 (ko) * 2005-11-29 2007-01-11 삼성전자주식회사 지연시간을 감소시킨 통신시스템
CN102332976B (zh) * 2011-09-15 2013-11-06 江西理工大学 异维可切换混沌系统设计方法及电路

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108365946A (zh) * 2018-01-31 2018-08-03 国网河南省电力公司潢川县供电公司 一种基于混沌系统阵列的能源互联网通信安全系统与方法
CN113433539A (zh) * 2021-06-22 2021-09-24 哈尔滨工程大学 一种基于混沌检测的水下电流场探测系统及方法

Also Published As

Publication number Publication date
CN103684741B (zh) 2016-08-17

Similar Documents

Publication Publication Date Title
CN103023507B (zh) Dac的采样时钟生成方法及装置
CN202217425U (zh) 一种基于软件无线电的通用调制解调实验装置
CN103645665B (zh) 一种可编程信号发生器及其信号发生方法
CN104881390B (zh) 通过串行并行总线相互转换以减少线缆数量的方法
CN2849872Y (zh) 开放式通信原理实验平台
CN110113275B (zh) 一种智能化多通道宽带干扰信号产生装置
CN102437852A (zh) 一种利用低速ADC实现2.5GSa/s数据采集电路及方法
CN102565751B (zh) 可编程的单相电能计量芯片开发装置
CN102970013A (zh) 基于扫描链的芯片内部寄存器复位方法及复位控制装置
CN103684741B (zh) 用于通信加密的多混沌吸引子分时切换方法及切换装置
CN202502660U (zh) 一种可编程的数字逻辑电路基础实验板
CN101276002A (zh) 高温单片相位可编程直接数字频率合成源
CN103023467A (zh) 基于扫描方式的寄存器复位方法及装置
CN206922765U (zh) 触控式高阶矢量调制信号发生器
CN103078729B (zh) 基于fpga的双精度混沌信号发生器
CN103675373A (zh) 一种在fpga内实现的数字信号产生方法
CN102866272A (zh) 虚拟电子测量仪器集成系统之综合信号发生器
CA2521167A1 (en) Programmable logic device
CN102928004B (zh) 一种编码器信号实时处理系统及方法
CN1951014B (zh) 用于可编程时钟发生器的设备和方法
CN204681338U (zh) 一种数字信号处理器的时钟产生电路
Gama et al. FPGA prototyping using the STEMlab board with application on frequency response analysis of electric machinery
CN106919734A (zh) 一种多fpga上电自动配置方法
CN105511353A (zh) 低频信号发生器及信号调试方法
CN203632687U (zh) 用于通信加密的切换电路

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160817

Termination date: 20191202