CN103053025A - 具有阈值电压设定掺杂剂结构的先进晶体管 - Google Patents

具有阈值电压设定掺杂剂结构的先进晶体管 Download PDF

Info

Publication number
CN103053025A
CN103053025A CN2011800358321A CN201180035832A CN103053025A CN 103053025 A CN103053025 A CN 103053025A CN 2011800358321 A CN2011800358321 A CN 2011800358321A CN 201180035832 A CN201180035832 A CN 201180035832A CN 103053025 A CN103053025 A CN 103053025A
Authority
CN
China
Prior art keywords
dopant
threshold voltage
shielding area
concentration
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800358321A
Other languages
English (en)
Other versions
CN103053025B (zh
Inventor
L·希弗伦
P·拉纳德
L·斯卡德
S·E·汤普森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Triple Fujitsu Semiconductor Co., Ltd.
Original Assignee
Suvolta Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Suvolta Inc filed Critical Suvolta Inc
Publication of CN103053025A publication Critical patent/CN103053025A/zh
Application granted granted Critical
Publication of CN103053025B publication Critical patent/CN103053025B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode

Abstract

一种具有阈值电压设定掺杂剂结构的先进晶体管,包括具有长度Lg的栅极和掺杂为具有第一掺杂剂浓度的阱。屏蔽区域定位在所述阱与所述栅极之间且具有大于5×1018个掺杂剂原子/cm3的第二掺杂剂浓度。阈值电压设定区域由设置定位在所述屏蔽区域上方的阈值电压偏移平面形成。所述阈值电压设定区域可以由德尔塔掺杂形成且具有介于Lg/5与Lg/1之间的厚度。所述结构使用最小的晕环注入或不使用晕环注入来将沟道掺杂剂浓度保持在小于5×1017个掺杂剂原子/cm3

Description

具有阈值电压设定掺杂剂结构的先进晶体管
相关申请
本申请要求2009年9月30日提交的美国临时申请No.61/247300的优先权,将该临时申请的公开内容通过引用并入于此。本申请还要求其公开内容通过引用并入于此的2009年11月17日提交的美国临时申请No.61/262122以及其公开内容通过引用并入于此的2010年2月18日提交的、发明名称为“Electronic Devices and Systems,and Methods for Making andUsing the Same”的美国专利申请No.12/708497的优先权。本申请还要求其公开内容通过引用并入于此的2010年6月22日提交的美国临时申请No.61/357492的优先权。
技术领域
本公开内容涉及形成具有包括阈值电压设定掺杂剂结构的改进的工作特性的先进晶体管的结构和工艺。
背景技术
场效应晶体管(FET)导通或关断时的电压是晶体管工作的关键参数。具有通常约为工作电压(VDD)的0.3倍的低阈值电压(VT)的晶体管能够迅速开关,但是还是具有相对较高的关态电流泄漏。具有通常约为工作电压(VDD)的0.7倍的高阈值电压(VT)的晶体管开关较慢,但是具有相对较低的关态电流泄漏。半导体电子设计者通过制造具有带有不同阈值电压的多个晶体管器件的管芯,对于高速关键路径采用低VT而不经常访问的电路则采用节省电能的高VT,来采用其优点。
用于设定VT的常规解决方案包括采用VT掺杂剂对晶体管沟道进行掺杂。通常,掺杂剂剂量越高,器件的VT越高。沟道还可以由源极和漏极周围的高注入角“袋状物”(pocket)或“晕环”(halo)注入来掺杂。沟道VT注入和晕环注入可以关于晶体管的源极和漏极对称或不对称,并且将两者一起使用来使VT增大到期望的电平。不幸的是,这样的注入对电子迁移率产生不利的影响,这主要是因为沟道中的掺杂剂散射增大,并且随着晶体管尺寸向下缩小,对于有用的VT设定点,在纳米级晶体管中所需的掺杂剂密度和注入位置控制越来越难以支持。
许多半导体制造商都试图通过采用新的晶体管类型(包括全部或部分耗尽的绝缘体上硅(SOI)晶体管)来避免体CMOS的缩放问题(包括具有纳米级栅极晶体管尺寸的晶体管中的不利的“短沟道效应”)。SOI晶体管构建在绝缘体层之上的薄硅层上,并通常需要VT设定沟道注入或晕环注入来工作。不幸的是,形成合适的绝缘体层十分昂贵且难以完成。早期的SOI器件构建在绝缘蓝宝石晶片上而非硅晶片上,并且因为成本高,通常仅用于特殊应用(例如军用航空电子设备或卫星)。现代的SOI技术可以使用硅晶片,但需要昂贵且费时的额外的晶片处理步骤来制作延伸跨越器件质量单晶硅的表面层下的整个晶片的绝缘氧化硅层。
在硅晶片上制作这样的氧化硅层的一种常用方法需要高剂量氧离子注入和高温退火,以在体硅晶片中形成埋入氧化物(BOX)层。或者,可以通过将一个硅晶片键合到表面上具有氧化物层的另一硅晶片(“处理”晶片)来制造SOI。使用在处理晶片的BOX层的顶部上留下单晶硅的薄晶体管质量层的工艺来将这对晶片分开。这就是所谓的“层转移”技术,因为该技术将薄硅层转移到处理晶片的热生长氧化物层上。
如所预期的,BOX形成或层转移这两者都是具有相对较高故障率的昂贵制造技术。因此,对于许多领先的制造商而言,制造SOI晶体管不是经济上有吸引力的解决方案。当重新设计晶体管以应对“浮体(floating body)”效应、研发新的SOI特定晶体管工艺的需要和其它电路变化的成本被添加到SOI晶片的成本时,很显然需要其它解决方案。
正在研究的另一可能的先进晶体管采用多栅极晶体管,其类似于SOI晶体管,通过在沟道中少量掺杂或不掺杂来使不利的缩放和短沟道效应最小化。通常称为finFET(由于由栅极部分地围绕的鳍形状的沟道),对具有28纳米或更低晶体管栅极尺寸的晶体管提出使用finFET晶体管。但同样,类似于SOI晶体管,虽然换到全新的晶体管架构解决了某些缩放、VT设定点和短沟道效应问题,但是又产生了需要比SOI更加显著的晶体管布局重新设计的其它问题。考虑到可能需要复杂的非平面晶体管制造技术来制作finFET以及创建finFET的新工艺流程的未知困难,制造商一直不愿在能制作finFET的半导体制造设施上投资。
附图说明
图1示出了具有改进的阈值电压设定区域掺杂剂结构的DDC晶体管;
图2示出了具有阈值电压设定区域掺杂剂结构的一个掺杂剂分布;
图3示意性地示出了预退火阈值电压掺杂剂分布;以及
图4示出了支持德尔塔(delta)掺杂的VT结构的代表性流程图。
具体实施方式
纳米级的体CMOS晶体管(栅极长度通常小于100纳米的晶体管)越来越难以制造,部分因为VT缩放不匹配VDD缩放。通常,对于栅极尺寸大于100纳米的晶体管而言,晶体管的栅极长度的减小包括工作电压VDD的大致成比例的减小,这一起确保了大致相当的电场和工作特性。减小工作电压VDD的能力部分取决于能准确地设定阈值电压VT,但是随着晶体管大小减小,由于多种因素(例如包括随机掺杂剂波动(RDF))而变得越来越困难。对于使用体CMOS工艺制作的晶体管而言,设定阈值电压VT的主要参数是沟道中掺杂剂的量。从理论上讲,这可以精确地完成,以便在同一芯片上的相同晶体管具有相同的VT,但实际上阈值电压可以显著变化。这意味着这些晶体管将不会响应于相同栅极电压在同一时间全部导通,某些可以永远不导通。对于具有100nm或更小的栅极和沟道长度的纳米级晶体管而言,RDF是VT的变化的主要决定因素,通常称为西格玛(sigma)VT或σVT,并且随着沟道长度减小,由RDF引起的σVT的量只增大。
图1中示出了可使用常规平面CMOS工艺在体CMOS衬底上制造的改进的晶体管。根据某些所描述的实施例,场效应晶体管(FET)100配置成具有大大减小的短沟道效应以及精确设定阈值电压Vt的能力。FET100包括栅极电极102、源极104、漏极106和定位在沟道110上的栅极电介质108。在工作时,沟道110被深耗尽,与常规晶体管相比,形成可以描述为深耗尽沟道(DDC)的沟道,且部分地通过高度掺杂的屏蔽区域112来设定耗尽深度。虽然沟道110基本上未掺杂,并且如图所示定位在高度掺杂的屏蔽区域112上,但是沟道110可以包括具有不同掺杂剂浓度的简单或复杂分层。这种掺杂的分层可以包括掺杂剂浓度小于屏蔽区域112的阈值电压设定区域111,其可选地定位在沟道110中的栅极电介质108与屏蔽区域112之间。阈值电压设定区域111允许小幅调整FET100的工作阈值电压,同时留下基本上未掺杂的沟道110的体。具体而言,邻近于栅极电介质108的沟道110的部分应当保持不掺杂。此外,穿通抑制区域113形成在屏蔽区域112的下方。类似于阈值电压设定区域111,穿通抑制区域113的掺杂剂浓度小于屏蔽区域112,同时高于轻掺杂阱衬底114的整体掺杂剂浓度。
在工作中,可以将偏置电压122VBS施加到源极104以进一步修改工作阈值电压,并且P+端子126可以在连接部124连接到P阱114以使电路闭合。栅极堆叠包括栅极电极102、栅极接触部118和栅极电介质108。包括栅极间隔部130以使源极和漏极与栅极分离,并且可选的源极/漏极延伸部(SDE)132或“尖端”在栅极间隔部和栅极电介质108下延伸源极和漏极,稍微减小了栅极长度并改进了FET100的电气特性。
在此示例性实施例中,FET100示出为N沟道晶体管,其具有由N型掺杂材料制成的源极和漏极,形成在作为P型掺杂的硅衬底的衬底上,且设置有形成在衬底116上的P阱114。然而,将会理解通过适当改变衬底或掺杂剂材料,可以替代由诸如砷化镓基材料等其它合适的衬底形成的非硅P型半导体晶体管。可以使用常规的掺杂剂注入工艺和材料形成源极104和漏极106,并且源极104和漏极106例如可以包括诸如应力感应的源极/漏极结构、升起和/或凹陷的源极/漏极、不对称掺杂、反掺杂(counter-doped)或晶体结构修改的源极/漏极、或根据LDD(低掺杂漏极)技术的源极/漏极延伸区域的注入掺杂等修改。也可以使用各种其它的技术来修改源极/漏极工作特性,在某些实施例中包括作为补偿掺杂剂的多相(heterogeneous)掺杂剂材料来修改电气特性。
栅极电极102可以由传统材料形成,优选包括但不限于金属、金属合金、金属氮化物、金属硅化物、以及其叠层和其组合物。在某些实施例中,栅极电极102也可以由多晶硅形成,例如包括高掺杂多晶硅和多晶硅锗合金。金属或金属合金可以包括含有铝、钛、钽的那些金属或金属合金、或其氮化物,该氮化物包括含有钛的化合物,诸如氮化钛等。栅极电极102的形成可以包括硅化物法、化学气相沉积法和物理气相沉积法,诸如但不限于蒸镀法和溅射法。通常,栅极电极102的总厚度为从约1至约500纳米。
栅极电介质108可以包括常规电介质材料,诸如氧化物、氮化物和氧氮化物等。或者,栅极电介质108通常可以包括较高介电常数的电介质材料,包括但不限于氧化铪、铪硅酸盐、氧化锆、氧化镧、氧化钛、钡锶钛酸盐和铅锆钛酸盐、金属类电介质材料和其它具有电介质性质的材料。优选的含有铪的氧化物包括HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx等。取决于组合物和可用沉积处理设备,栅极电介质108可以由以下方法形成,诸如热或等离子氧化、氮化法、化学气相沉积法(包括原子层沉积法)和物理气相沉积法等。在某些实施例中,可以使用多层或复合层、叠层和电介质材料的组合混合物。例如,栅极电介质层可以由厚度约0.3与1nm之间的SiO2基绝缘体以及厚度约0.5与4nm之间的氧化铪基绝缘体形成。通常,栅极电介质层的总厚度从约0.5至约5纳米。
沟道区域110形成在栅极电介质108下方和高度掺杂的屏蔽区域112上方。沟道区域110还接触源极104和漏极106,并且在源极104和漏极106之间延伸。优选地,沟道区域包括邻近栅极电介质108或其附近的基本上未掺杂的硅,其掺杂剂浓度小于5×1017个掺杂剂原子/cm3。沟道厚度的范围通常可以从5至50纳米。在某些实施例中,沟道区域110由屏蔽区域上外延生长的纯的或基本上纯的硅形成。
如所公开的,阈值电压设定区域111定位在屏蔽区域112上方,并且通常形成为薄掺杂层。在某些实施例中,德尔塔掺杂、可控原位沉积或原子层沉积可以用于形成基本上平行且关于屏蔽区域112垂直偏移的掺杂剂平面。适当改变掺杂剂浓度、厚度以及与栅极电介质层和屏蔽区域的分离使得可以可控地略微调节工作FET100的阈值电压。在某些实施例中,阈值电压设定区域111掺杂为具有约1×1018个掺杂剂原子/cm3与约1×1019个掺杂剂原子/cm3之间的浓度。阈值电压设定区域111可以由若干不同工艺形成,包括:1)原位外延掺杂,2)外延生长薄硅层后严格可控的掺杂剂注入(例如德尔塔掺杂),3)外延生长薄硅层后原子从屏蔽区域112的掺杂剂扩散,或4)这些工艺的任何组合(例如,外延生长硅后进行掺杂剂注入和从屏蔽层112的掺杂剂扩散这两者)。
高度掺杂的屏蔽区域112的位置通常设定了工作FET100的耗尽区的深度。有利的是,屏蔽区域112(和相关耗尽深度)设定在从与栅极长度(Lg/1)可比较的深度至栅极长度的大的分数(Lg/5)的深度范围内的深度处。在优选实施例中,代表性范围在Lg/3至Lg/1.5之间。具有Lg/2或更大的器件对于极低的功率操作而言是优选的,而在高电压下工作的数字或模拟器件通常可以形成有在Lg/5与Lg/2之间的屏蔽区域。例如,可以形成具有32纳米的栅极长度的晶体管,以使得屏蔽区域在低于约16纳米(Lg/2)的栅极电介质的深度处具有峰值掺杂剂密度,并且电压阈值在8纳米(Lg/4)的深度处设定为峰值掺杂剂密度。
在某些实施例中,屏蔽区域112掺杂为具有约5×1018个掺杂剂原子/cm3与约1×1020个掺杂剂原子/cm3之间的浓度,明显大于未掺杂沟道的掺杂剂浓度,且至少略微大于可选的电压阈值设定区域111的掺杂剂浓度。如将理解的,可以修改确切的掺杂剂浓度和屏蔽区域深度,以改进FET100的期望工作特性,或考虑可用的晶体管制造工艺和工艺条件。
为了帮助控制泄漏,穿通抑制区域113形成在屏蔽区域112的下方。通常,穿通抑制区域113通过直接注入到轻掺杂阱中而形成,但它还可以通过从屏蔽区域向外扩散、原位生长、或其它已知工艺形成。类似于阈值电压设定区域111,穿通抑制区域113的掺杂剂浓度小于屏蔽区域122,通常设定在约1×1018个掺杂剂原子/cm3与约1×1019个掺杂剂原子/cm3之间。此外,穿通抑制区域113的掺杂剂浓度设定为高于阱衬底的整体掺杂剂浓度。如将理解的,可以修改确切的掺杂剂浓度和深度,以改进FET100的期望工作特性,或考虑可用的晶体管制造工艺和工艺条件。
由于可以容易地适应良好研发且长期使用的平面CMOS工艺技术,所以与SOI或finFET晶体管相比,形成这样的FET100相对较为简单。
总体而言,与常规纳米级器件相比,制造上述结构的结构和方法可以使得FET晶体管同时具有低工作电压和低阈值电压。此外,DDC晶体管可以配置为使得阈值电压可以借助于电压体(voltage body)偏置发生器而被静态地设定。在某些实施例中,甚至可以动态地控制阈值电压,这使得可以大幅减小晶体管的泄漏电流(通过设定电压偏置以向上调节VT,从而低泄漏、低速工作),或大幅增大晶体管的泄漏电流(通过向下调节VT,从而高泄漏、高速工作)。最终,提供了制造上述结构的这些结构和方法,以设计具有可以在电路处于工作的同时动态调节的FET器件的集成电路。因此,可以用名义上相同的结构设计集成电路中的晶体管,并可以对其进行控制、调制或编程,使其在响应于不同偏置电压的不同工作电压下工作,或者在响应于不同偏置电压和工作电压的不同工作模式下工作。此外,可以为了电路内的不同应用而在制造后对这些进行配置。
如将理解的,按照物理和功能区域或层,描述了注入的或者存在于半导体的衬底或晶体层中的、用于修改半导体的物理和电气特性的原子的浓度。本领域技术人员可以将这些理解为具有特定浓度平均值的材料的三维体积(mass)。或者,它们可以被理解为具有不同的或空间上变化的浓度的子区域或子层。它们也可以存在为小的掺杂剂原子团、基本上类似的掺杂剂原子的区域等,或其它物理实施例。对基于这些性质的区域的描述并不旨在限制形状、确切位置或取向。它们也并不旨在将这些区域或层限制于所采用的任何特定类型或数量的工艺步骤、任何特定类型或数量的层(例如,组合的或整体的)、半导体沉积、蚀刻技术或生长技术。这些工艺可以包括外延形成的区域或原子层沉积、掺杂注入方法工艺、或特定的纵向或横向掺杂剂分布,其包括线性的、单调增大的、倒退的(retrograde)、或其它合适的空间变化的掺杂剂浓度。为了确保维持期望的掺杂剂浓度,预期了各种掺杂剂抗迁移技术,包括低温处理、碳掺杂、原位掺杂剂沉积,和提前闪蒸(advanced flash)或其它退火技术。所得到的掺杂剂分布可以具有不同掺杂剂浓度的一个或多个区域或层,并且无论工艺如何,通过包括红外光谱、卢瑟福背散射(RBS)、二次离子质谱法(SIMS)或使用不同定性或定量掺杂剂浓度确定方法工艺的其它掺杂剂分析工具的技术,浓度的变化和区域或层如何限定可以是或可以不是可检测的。
为了更好地理解包括通过沉积阈值电压偏移平面形成的、清楚限定的阈值电压设定的一个可能的晶体管结构,图2示出了在源极与漏极之间的中线处获得的且从栅极电介质朝向阱向下延伸的深耗尽晶体管的掺杂剂分布202。以每立方厘米掺杂剂原子的数量为单位测量浓度,向下的深度测量为栅极长度Lg的比值。测量为比值而非以纳米为单位的绝对深度能够更好的在不同节点(例如,45nm、32nm、22nm、15nm)处制造的晶体管之间跨越比较,其中结点通常按照最小栅极长度来限定。
如图2中所示,邻近于栅极电介质层的沟道210的区域基本上没有掺杂剂,直到差不多Lg/4的深度浓度小于5×1017个掺杂剂原子/cm3。阈值电压设定区域211的掺杂剂浓度增大到约3×1018个掺杂剂原子/cm3,并且浓度增大另一数量级到约3×1019个掺杂剂原子/cm3,以形成设定工作晶体管中的耗尽区的底部的屏蔽区域212。在约Lg/1的深度处具有约1×1019个掺杂剂原子/cm3的掺杂剂浓度的穿通抑制区域213是屏蔽区域与轻掺杂阱214之间的中间值。在没有穿通抑制区域的情况下,例如构造为具有30nm栅极长度和1.0伏工作电压的晶体管预期具有明显更大的泄漏。当注入所公开的穿通抑制213时,减小了穿通泄漏,使晶体管功率效率更高,而且能够更好地容忍晶体管结构中的工艺变化而没有穿通失效。
虽然能形成穿通抑制区域和屏蔽区域的深掺杂剂注入相对易于控制,但是形成高精度的阈值电压设定区域更加难得多。从屏蔽区域的掺杂剂迁移可以导致阈值电压设定区域的位置和浓度的大量变化,特别是在使用激活掺杂剂经常遇到的高温工艺时。图3中示出了减小不想要的掺杂剂变化的一个预期实施例。曲线图301以掺杂剂分布示出了预退火掺杂剂注入浓度,其导致了诸如关于图2讨论的掺杂剂分布结构。很明显,单独的掺杂剂注入340和342分别用于形成穿通抑制区域和屏蔽区域。采用由用于形成阈值电压偏移平面344和346的德尔塔掺杂中断两次的纯硅沉积来生长外延硅。这些多个平面非常薄,处于一个或两个原子层厚度的数量级,并且掺杂剂极其集中。一个或多个阈值电压偏移平面可以定位在外延沟道中的任何位置,但优选地定位在具栅极电介质至少Lg/5的距离处。退火之后(post-anneal),阈值电压偏移平面略微扩散,形成关于图2所示的期望的阈值电压设定区域。
可以通过分子束外延、有机金属分解、原子层沉积或其它常规处理技术(包括化学或物理气相沉积)来沉积德尔塔掺杂平面。图4中示意性地示出了一个合适的用于形成定位在基本上未掺杂质的沟道下方和屏蔽区域上方的德尔塔掺杂偏移平面的工艺的实施例。
图4是示出一个示例性工艺的工艺流程图300,用于形成具有适合于不同类型的FET结构(包括模拟和数字晶体管这两者)的德尔塔掺杂偏移平面、穿通抑制区域和屏蔽区域的晶体管。这里示出的工艺在其描述中旨在是一般性的和广泛的,以便不模糊本发明的概念,以下阐述更详细的实施例和示例。这些连同其它工艺步骤允许处理和制造包括DDC结构器件以及旧有器件的集成电路,允许覆盖整个范围的具有改进性能和较低功率的模拟和数字器件的设计。
在步骤302中,工艺开始于阱形成,其可以是根据不同实施例和示例的许多不同工艺中的一个。如303中所示,取决于期望的应用和结果,阱形成可以在STI(浅沟槽隔离)形成304之前或之后。硼(B)、铟(I)或其它P型材料可以用于P型注入,砷(As)或磷(P)和其它N型材料可以用于N型注入。对于PMOS阱注入,可以在从10至80keV的范围内且以从1×1013至8×1013/cm2的浓度来注入P+注入。可以在从5至60keV的范围内且以从1×1013至8×1013/cm2的浓度来注入As+。对NMOS阱注入,可以在从0.5至5keV的范围内且在1×1013至8×1013/cm2的浓度范围内注入硼注入B+。可以在10至60keV的范围内且以1×1014至5×1014/cm2的浓度执行锗注入Ge+。为了减小掺杂剂迁移,可以在0.5至5keV的范围内且以1×1013至8×1013/cm2的浓度执行碳注入C+。阱注入可以包括穿通抑制区域、掺杂剂密度高于穿通抑制区域的屏蔽区域以及阈值电压设定区域的顺序注入和/或外延生长和注入(先前所讨论的这些通常由掺杂剂向屏蔽区域上生长的外延层中的注入或扩散形成)。
在某些实施例中,如302A中所示,阱形成302可以包括Ge/B(N)、As(P)的束线注入,随后是外延(EPI)预清洗工艺,最后是非选择性均厚(blanket)EPI沉积。或者,如302B中所示,阱可以使用B(N)、As(P)的等离子注入,随后是EPI预清洗,最后是非选择性(均厚)EPI沉积而形成。德尔塔掺杂可以发生在EPI生长期间的合适阶段,并且如果需要形成具有期望的VT设定点的期望退火后掺杂剂分布,则可以预期多个EPI生长/德尔塔掺杂阶段。或者,如302C中所示,阱形成可以包括B(N)、As(P)的固体源扩散,随后是EPI预清洗,最后是非选择性(均厚)EPI沉积。或者,如302D中所示,阱形成可以包括B(N)、As(P)的固体源扩散,随后是EPI预清洗,最后是非选择性(均厚)EPI沉积。作为又一种选择,阱形成可以简单地包括阱注入,随后是B(N)、P(P)的原位掺杂选择性EPI。本文所描述的实施例允许具有不同阱结构且根据不同参数的、配置在共同衬底上的多个器件中的任一个。
同样可以在阱形成302之前或之后发生的浅沟槽隔离(STI)形成304可以包括在低于900℃的温度下的低温沟槽牺牲氧化物(TSOX)衬垫。栅极堆叠306可以按照多种不同的方法、由不同的材料形成或构建,并且具有不同的功函数。一个选择是多晶(poly)/SiON栅极堆叠306A。另一选择是先栅极(gate-first)工艺306B,其包括SiON/金属/多晶和/或SiON/多晶,随后是高K/金属栅极。另一选择,后栅极(gate-last)工艺306C包括高K/金属栅极堆叠,其中栅极堆叠可以由“先高K后金属栅极”的流程或“后高K后金属栅极”的流程形成。再一选择,306D是包括可调谐范围的功函数的金属栅极,其取决于器件构造,N(NMOS)/P(PMOS)/N(PMOS)/P(NMOS)/中间带隙(Mid-gap)或两者之间的任何地方。在一个示例中,N具有4.05V±200mV的功函数(WF),P具有5.01V±200mV的WF。
接着,在步骤308中,可以注入源极/漏极尖端,或可选地可以取决于应用而不注入。尖端的尺寸可以根据需要而变化,并且将部分地取决于是否使用栅极间隔部(SPCR)。在一个选择中,在308A中可以没有尖端注入。接着,在可选步骤310和312中,PMOS或NMOS EPI层可以形成在源极和漏极区域中,作为用于创建应变沟道的性能增强部。对于后栅极的栅极堆叠选择而言,在步骤314中,形成后栅极模块。这仅可以针对后栅极工艺314A。
可以预期支持多种晶体管类型的管芯(包括具有和不具有穿通抑制的管芯、具有不同阈值电压的管芯、具有和不具有部分地由德尔塔掺杂阈值电压结构设定的阈值电压、以及具有和不具有静态或动态偏置的管芯)。片上系统(SOC)、先进的微处理器、射频、存储器和其它具有一个或多个数字和模拟晶体管配置的管芯可以并入到使用本文所描述的方法的器件中。根据本文所讨论的方法和工艺,可以使用体CMOS在硅上生产出具有DDC和/或具有或不具有穿通抑制的晶体管器件和结构的多种组合的系统。在不同实施例中,管芯可以分割成动态偏置结构、静态偏置结构或无偏置结构单独地或以某种组合存在的一个或多个区域。在动态偏置部分中,例如,可动态调节的器件可以与高和低VT器件和可能的DDC逻辑器件一起存在。
虽然已经描述了特定示例性实施例并且在附图中示出了这些实施例,但是应当理解这些实施例仅仅是例示性的,而并非限制广泛的发明,还应当理解由于本领域技术人员可以做出各种其它修改,所以本发明并不限于所示和所述的特定结构和配置。因此,本说明书和附图应被视为说明性的而非限制性的意义。

Claims (10)

1.一种场效应晶体管结构,包括:
阱,掺杂为具有第一掺杂剂浓度;
屏蔽层,与所述阱接触,并且具有大于5×1018个掺杂剂原子/cm3的第二掺杂剂浓度;以及
均厚层,包括外延生长在所述屏蔽层上的不同掺杂的沟道层和阈值电压设定层,其中所述阈值电压设定层至少部分地通过设置阈值电压偏移平面形成,且所述阈值电压偏移平面定位在屏蔽区域上方且与所述屏蔽区域分离。
2.根据权利要求1所述的场效应晶体管结构,其中:通过德尔塔掺杂来沉积所述电压阈值偏移平面。
3.根据权利要求1所述的场效应晶体管结构,其中:所述电压阈值偏移平面定位在距所述屏蔽区域约3纳米至约10纳米之间。
4.根据权利要求1所述的场效应晶体管结构,还包括多个阈值电压偏移平面。
5.根据权利要求1所述的场效应晶体管结构,其中:所述沟道层邻近于栅极电介质掺杂为具有小于约5×1017个掺杂剂原子/cm3的密度。
6.一种用于形成场效应晶体管结构的方法,包括:
形成掺杂为具有第一掺杂剂浓度的阱;
将屏蔽区域注入到所述阱中,所述屏蔽区域的掺杂剂浓度大于5×1018个掺杂剂原子/cm3
在所述屏蔽区域的顶部上生长外延均厚层;
在所述外延均厚层中形成至少一个阈值电压偏移平面;以及
在所述外延均厚层中形成沟道层。
7.根据权利要求6所述的方法,其中:所述沟道层掺杂为具有小于约5×1017个掺杂剂原子/cm3的密度。
8.根据权利要求6所述的方法,其中:使用德尔塔掺杂来执行形成至少一个阈值电压偏移平面。
9.根据权利要求6所述的方法,其中:形成至少一个阈值电压偏移平面的步骤还包括:通过分子束外延、有机金属分解、原子层沉积、物理气相沉积和/或化学气相沉积中的至少一个所进行的德尔塔掺杂。
10.根据权利要求6所述的场效应晶体管结构,其中:所述电压阈值偏移平面定位在距所述屏蔽区域约3纳米至约10纳米之间。
CN201180035832.1A 2010-06-22 2011-06-21 具有阈值电压设定掺杂剂结构的先进晶体管 Active CN103053025B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US35749210P 2010-06-22 2010-06-22
US61/357,492 2010-06-22
US12/895,785 2010-09-30
US12/895,785 US20110079861A1 (en) 2009-09-30 2010-09-30 Advanced Transistors with Threshold Voltage Set Dopant Structures
PCT/US2011/041156 WO2011163164A1 (en) 2010-06-22 2011-06-21 Advanced transistors with threshold voltage set dopant structures

Publications (2)

Publication Number Publication Date
CN103053025A true CN103053025A (zh) 2013-04-17
CN103053025B CN103053025B (zh) 2017-02-22

Family

ID=45327906

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180035832.1A Active CN103053025B (zh) 2010-06-22 2011-06-21 具有阈值电压设定掺杂剂结构的先进晶体管

Country Status (5)

Country Link
US (2) US20110079861A1 (zh)
KR (1) KR20130126890A (zh)
CN (1) CN103053025B (zh)
TW (1) TWI550863B (zh)
WO (1) WO2011163164A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104810396A (zh) * 2014-01-23 2015-07-29 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN106409767A (zh) * 2015-07-31 2017-02-15 台湾积体电路制造股份有限公司 多阈值电压场效应晶体管及其制造方法

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8236660B2 (en) 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8299535B2 (en) * 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) * 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) * 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) * 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8580643B2 (en) * 2011-08-24 2013-11-12 Globalfoundries Inc. Threshold voltage adjustment in a Fin transistor by corner implantation
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8592264B2 (en) * 2011-12-21 2013-11-26 International Business Machines Corporation Source-drain extension formation in replacement metal gate transistor device
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
JP5915194B2 (ja) * 2012-01-17 2016-05-11 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8877619B1 (en) * 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
CN103456786B (zh) * 2012-06-05 2015-11-25 中芯国际集成电路制造(上海)有限公司 Mos晶体管结构及其制造方法
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
JP6095927B2 (ja) * 2012-09-27 2017-03-15 エスアイアイ・セミコンダクタ株式会社 半導体集積回路装置
CN104854698A (zh) * 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9236445B2 (en) 2014-01-16 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor having replacement gate and epitaxially grown replacement channel region
US9224814B2 (en) 2014-01-16 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Process design to improve transistor variations and performance
US9184234B2 (en) * 2014-01-16 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor design
US9425099B2 (en) 2014-01-16 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel with a counter-halo implant to improve analog gain
US9525031B2 (en) 2014-03-13 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US9087860B1 (en) * 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
KR102277398B1 (ko) 2014-09-17 2021-07-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156989A (en) * 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US6144079A (en) * 1996-04-01 2000-11-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6600200B1 (en) * 1999-08-25 2003-07-29 Infineon Technologies Ag MOS transistor, method for fabricating a MOS transistor and method for fabricating two complementary MOS transistors
CN1592950A (zh) * 2002-07-11 2005-03-09 松下电器产业株式会社 半导体器件及其制造方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0024905B1 (en) * 1979-08-25 1985-01-16 Zaidan Hojin Handotai Kenkyu Shinkokai Insulated-gate field-effect transistor
US4315781A (en) * 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) * 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
US4578128A (en) * 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
JPH0770606B2 (ja) * 1985-11-29 1995-07-31 株式会社日立製作所 半導体装置
KR920008834A (ko) * 1990-10-09 1992-05-28 아이자와 스스무 박막 반도체 장치
US5298763A (en) * 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
US5622880A (en) * 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5889315A (en) * 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5608253A (en) * 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
KR0172793B1 (ko) * 1995-08-07 1999-02-01 김주용 반도체소자의 제조방법
JPH0973784A (ja) * 1995-09-07 1997-03-18 Nec Corp 半導体装置及びその制御回路
US6127700A (en) * 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) * 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
US6194259B1 (en) * 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (ja) * 1997-11-26 1999-06-18 Mitsui Chem Inc 半導体レーザ装置
US6184112B1 (en) * 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
JP2000243958A (ja) * 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
US6190979B1 (en) * 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6503801B1 (en) * 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
US6162693A (en) * 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US6506640B1 (en) * 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
US6541829B2 (en) * 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US7015546B2 (en) * 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
JP2001352057A (ja) * 2000-06-09 2001-12-21 Mitsubishi Electric Corp 半導体装置、およびその製造方法
WO2002001641A1 (fr) * 2000-06-27 2002-01-03 Matsushita Electric Industrial Co., Ltd. Dispositif semi-conducteur
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6534373B1 (en) * 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
US6693333B1 (en) * 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
EP1427021B1 (en) * 2001-09-14 2011-08-31 Panasonic Corporation Semiconductor device
US7013359B1 (en) * 2001-12-21 2006-03-14 Cypress Semiconductor Corporation High speed memory interface system and method
KR100414736B1 (ko) * 2002-05-20 2004-01-13 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
US7673273B2 (en) * 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
JP2004119513A (ja) * 2002-09-24 2004-04-15 Toshiba Corp 半導体装置及びその製造方法
EP1579352A2 (en) * 2003-01-02 2005-09-28 PDF Solutions, Inc. Yield improvement
SE0300924D0 (sv) * 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
US7294877B2 (en) * 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
EP1612861B1 (en) * 2003-04-10 2018-10-03 Fujitsu Semiconductor Limited Semiconductor device and its manufacturing method
US7176137B2 (en) * 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
DE10360874B4 (de) * 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7015741B2 (en) * 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
JP4795653B2 (ja) * 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7491988B2 (en) * 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7462908B2 (en) * 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7189627B2 (en) * 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US8106481B2 (en) * 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
US20060049464A1 (en) * 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
WO2006137867A1 (en) * 2004-09-17 2006-12-28 California Institute Of Technology Fabrication method for back-illuminated cmos or ccd imagers made from soi wafer
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7268049B2 (en) * 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
KR100613294B1 (ko) * 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 단채널 효과가 개선되는 모스 전계효과 트랜지스터 및 그제조 방법
US20060166417A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7170120B2 (en) * 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US20060273379A1 (en) * 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US20070040222A1 (en) * 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7633134B2 (en) * 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) * 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (ja) * 2006-02-23 2013-02-20 セイコーエプソン株式会社 半導体装置
JP5283827B2 (ja) * 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7681628B2 (en) * 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) * 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US7503020B2 (en) * 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7496862B2 (en) * 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
US20080067589A1 (en) * 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
US7683442B1 (en) * 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7644377B1 (en) * 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
US7496867B2 (en) * 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
CN101030602B (zh) * 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
US7759714B2 (en) * 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
US7651920B2 (en) * 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
US7895546B2 (en) * 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
US7795677B2 (en) * 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
US7675317B2 (en) * 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7700424B2 (en) * 2008-02-27 2010-04-20 Applied Materials, Inc. Method of forming an embedded silicon carbon epitaxial layer
US7867835B2 (en) * 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
JP5474315B2 (ja) * 2008-05-16 2014-04-16 ピーエスフォー ルクスコ エスエイアールエル レイテンシカウンタ及びこれを備える半導体記憶装置、並びに、データ処理システム
JP5173582B2 (ja) * 2008-05-19 2013-04-03 株式会社東芝 半導体装置
FR2932609B1 (fr) * 2008-06-11 2010-12-24 Commissariat Energie Atomique Transistor soi avec plan de masse et grille auto-alignes et oxyde enterre d'epaisseur variable
US20100012988A1 (en) * 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) * 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
US7927943B2 (en) * 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US7824986B2 (en) * 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (ja) * 2010-09-10 2012-03-22 Renesas Electronics Corp 半導体装置の評価方法、評価装置、及びシミュレーション方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156989A (en) * 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US6144079A (en) * 1996-04-01 2000-11-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6600200B1 (en) * 1999-08-25 2003-07-29 Infineon Technologies Ag MOS transistor, method for fabricating a MOS transistor and method for fabricating two complementary MOS transistors
CN1592950A (zh) * 2002-07-11 2005-03-09 松下电器产业株式会社 半导体器件及其制造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104810396A (zh) * 2014-01-23 2015-07-29 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN106409767A (zh) * 2015-07-31 2017-02-15 台湾积体电路制造股份有限公司 多阈值电压场效应晶体管及其制造方法

Also Published As

Publication number Publication date
US20110079861A1 (en) 2011-04-07
KR20130126890A (ko) 2013-11-21
TW201205812A (en) 2012-02-01
US20150340460A1 (en) 2015-11-26
WO2011163164A1 (en) 2011-12-29
TWI550863B (zh) 2016-09-21
CN103053025B (zh) 2017-02-22

Similar Documents

Publication Publication Date Title
CN103053025A (zh) 具有阈值电压设定掺杂剂结构的先进晶体管
CN103038721B (zh) 具有穿通抑制的先进晶体管
KR101891356B1 (ko) 저전력 반도체 트랜지스터 구조 및 그 제조 방법
CN103238216A (zh) 对改进型晶体管的源/漏延伸控制
US20060172511A1 (en) In situ formed halo region in a transistor device
US20100289088A1 (en) Threshold voltage improvement employing fluorine implantation and adjustment oxide layer
CN101728274A (zh) 通过共注入碳和氮降低多晶硅耗尽
US20100164016A1 (en) Adjusting of strain caused in a transistor channel by semiconductor material provided for threshold adjustment
US8877619B1 (en) Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8664068B2 (en) Low-diffusion drain and source regions in CMOS transistors for low power/high performance applications
US20130032877A1 (en) N-channel transistor comprising a high-k metal gate electrode structure and a reduced series resistance by epitaxially formed semiconductor material in the drain and source areas
WO2006083546A2 (en) In situ formed halo region in a transistor device
KR101178016B1 (ko) 구조화된 저농도 도펀트 채널들을 갖는 진보한 트랜지스터

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: MIE FUJITSU SEMICONDUCTOR LIMITED

Free format text: FORMER OWNER: SUVOLTA INC.

Effective date: 20150807

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150807

Address after: Mie, Japan

Applicant after: Triple Fujitsu Semiconductor Co., Ltd.

Address before: American California

Applicant before: Suvolta, Inc.

C14 Grant of patent or utility model
GR01 Patent grant