CN102956271B - 基于宽i/o dram的2.5d/3d系统芯片的dram修复架构 - Google Patents

基于宽i/o dram的2.5d/3d系统芯片的dram修复架构 Download PDF

Info

Publication number
CN102956271B
CN102956271B CN201210291516.7A CN201210291516A CN102956271B CN 102956271 B CN102956271 B CN 102956271B CN 201210291516 A CN201210291516 A CN 201210291516A CN 102956271 B CN102956271 B CN 102956271B
Authority
CN
China
Prior art keywords
controller
dynamic ram
repair
reparation
dice
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210291516.7A
Other languages
English (en)
Other versions
CN102956271A (zh
Inventor
桑迪·库马·戈埃尔
黄智强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102956271A publication Critical patent/CN102956271A/zh
Application granted granted Critical
Publication of CN102956271B publication Critical patent/CN102956271B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/76Masking faults in memories by using spares or by reconfiguring using address translation or modifications
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/80Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout
    • G11C29/816Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout for an application-specific layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明涉及基于宽I/O?DRAM的2.5D/3D系统芯片的DRAM修复架构。2.5D或3D修复架构包括逻辑管芯和存储器管芯。在2.5D架构中,逻辑管芯和存储器管芯安装在中介层上。在3D架构中,存储器管芯安装在逻辑管芯上。逻辑具有被处理器测试外壳包裹的控制逻辑。处理器测试外壳启动控制逻辑的测试部件。控制逻辑进一步包括宽输入/输出控制器、内置修复分析器(BIRA)以及修复控制器。利用修复架构的方法提供了修复存储器设备的故障列和行的步骤。

Description

基于宽I/O DRAM的2.5D/3D系统芯片的DRAM修复架构
本申请要求于2011年8月17日提交的标题为“DRAMRepairArchitectureAndMethodForWideIODRAMBased2.5D/3DSystemChips”的美国临时专利申请第61/524,548号的优先权。
技术领域
本公开总体上涉及电子电路领域。具体地,本公开包括2.5D/3D系统芯片中动态随机存取存储器(DRAM)的修复过程和架构。
背景技术
传统的二维(2D)电脑芯片、处理器和随机存取存储器(RAM)设置在同一个平面内且通过封装衬底相互连接。
但是,随着电子工业的演变,如今已经推出第三维-宽输入/输出DRAM并通过中介层(2.5D)将其连接在处理器(3D)的顶部或靠近处理器。因此,第三维的放置方法降低了两个零件间的互连电容。
发明内容
在一个实施例中,设备包括宽幅输入/输出控制器、内置修复分析器(BIRA)和修复控制器。宽幅输入/输出控制器通过物理层与DRAM通信。内置修复分析器收集来自宽幅输入/输出控制器的通讯故障数据并分析该故障数据以确定DRAM通道中DRAM的故障列和行。修复控制器生成修复DRAM的故障列和行的指令。
该设备进一步包括:修复定序器,用于按顺序排列所生成的修复指令。
该设备进一步包括:通用输入/输出(GPIO)管脚,被配置成接收来自修复控制器的修复指令。
其中,修复控制器是eFUSE修复控制器,修复定序器是eFUSE修复定序器。
该设备进一步包括:控制逻辑,被配置为片上系统(SOC)。
在另一个实施例中,在中介层上安装逻辑管芯和存储器管芯。存储器管芯包括DRAM通道。逻辑具有被处理器测试外壳包裹的控制逻辑。处理器测试外壳能够启动控制逻辑的测试部件。控制逻辑进一步包括宽幅输入/输出控制器、BIRA和修复控制器。宽幅输入/输出控制器通过物理层与DRAM通道通信。BIRA收集来自宽幅输入/输出控制的通信故障数据并分析该故障数据以确定DRAM通道中DRAM的故障列和行。修复控制器生成修复DRAM的故障列和行的指令。
在另一个实施例中,在逻辑管芯上安装有存储器管芯。存储器管芯具有DRAM通道。逻辑具有被处理器测试外壳包裹的控制逻辑。处理器测试外壳能够启动控制逻辑的测试部件。控制逻辑进一步包括宽幅输入/输出控制器、BIRA和修复控制器。宽幅输入/输出控制器通过物理层与DRAM通信。BIRA收集来自宽幅输入/输出控制器的通信故障数据且分析该故障数据以确定DRAM通道中DRAM的故障列和行。修复控制器生成修复DRAM的故障列和行的指令。
该设备进一步包括:修复定序器,用于按顺序排列所生成的修复指令。
该设备进一步包括:通用输入/输出(GPIO)管脚,被配置成接收来自修复控制器的修复指令。
其中,修复控制器是eFUSE修复控制器,修复定序器是eFUSE修复定序器。
该设备进一步包括中介层和封装衬底,其中,存储器管芯安装在中介层上,中介层设置在封装衬底上。
其中,逻辑管芯进一步安装在中介层上。
其中,存储器管芯安装在逻辑管芯上。
该设备进一步包括封装衬底,其中,逻辑管芯安装在封装衬底上。
其中,控制逻辑是片上系统,并且逻辑管芯进一步包括:边界扫描测试外壳,被配置成包裹处理器测试外壳,边界扫描测试外壳被配置成启动电路板的测试部件,其中,处理器测试外壳是电气和电子工程师协会(IEEE)标准1500测试外壳且边界扫描测试外壳是电气和电子工程师协会标准1149.1测试外壳。
在另一个实施例中,提供一种方法。该方法收集和分析通信故障数据且生成修复DRAM的故障列和行的指令。该方法包括以下步骤:宽幅输入/输出控制器通过物理层与DRAM通信;BIRA收集来自宽幅输入/输出控制器的通信故障数据;BIRA分析通信故障数据以确定DRAM通道中DRAM的故障列和行;以及生成修复DRAM的故障列和行的指令。
其中,使用修复控制器生成修复指令。
该方法进一步包括使用修复定序器按顺序排列所生成的修复指令。
该方法进一步包括:使用通用输入/输出(GPIO)管脚接收来自修复控制器的修复指令。
其中,修复控制器是eFUSE修复控制器,修复定序器是eFUSE修复定序器。
其中,DRAM通道设置在存储器管芯中,存储器管芯设置在中介层上。
附图说明
图1示出了3D系统实施例。
图2示出了2.5D系统实施例。
图3详细描述了单个宽输入/输出DRAM管芯的修复架构的实施方式。
图4示出了构成宽输入/输出DRAM晶体的修复架构的一部分的逻辑到数据适配器和测试外壳指令寄存器的结构图。
图5示出了构成宽输入/输出堆叠的DRAM晶体的修复架构的处理器的实施方式。
图6示出了收集和分析通信故障数据且生成修复指令的方法。
具体实施方式
本公开的一个方面包括堆叠管芯的修复架构。堆叠管芯包括宽幅输入/输出DRAM和其他逻辑管芯。这样的修复架构能够启动各自的管芯修复以及管芯间的互连修复。一些修复架构的实施例符合2.5D/3D管芯的板级集成(board-levelintegration)的电气和电子工程师协会(IEEE)1149.1号“标准测试存取口及边界扫描架构”。IEEE1149.1的行业标准名称是联合测试行动小组(JTAG)。
当重新使用片上系统(SOC)修复基础结构和修复方式时,实施例会对单位面积成本最小化。但是其他实施例与宽I/ODRAM边界扫描控制连接并无需使用测试访问端口(TAP)控制器进行注册。
后面的多个部分中均详细描述了下列实施例。此外,采用已知的互补金属氧化物半导体(CMOS)晶体管的集成电路(IC)技术,在单晶硅半导体衬底上形成构成下列实施例的每个功能块的电路部件。
参考附图描述本公开的实施例。在描述实施例的所有附图中,通常,相同的参考数字用于指代相同的部件,因此本文不再赘述。
参看根据本公开的实施例构造和操作的如图1所示的3D芯片系统1000的实施例。3D芯片系统是其内部部件以三维(长、宽和高)形式相互连接的系统。如图1所示,在3D芯片系统1000中,通过微凸块1250将宽I/ODRAM1300堆叠在处理器1200的顶部。类似地,通过C4凸块(焊料凸块1150将处理器1200堆叠在封装衬底1100上。采用球栅阵列(BGA)1050实现对封装衬底1100的表面安装。如下所述,3D芯片系统1000中可以使用本公开的修复实施例。
同样地,如图2所示,结合根据本公开的实施例构造和操作的2.5D芯片系统2000,可以使用修复实施例。2.5D芯片系统是其内部部件(如,处理器2200和DRAM2300)通过中介层2400以三维的形式连接到封装衬底2100的系统。如图2所示,通过微凸块2250,部件处理器2200和DRAM2300堆叠在中介层2400的顶部。同样地,通过C4凸块2150,中介层2400堆叠在封装衬底2100上。采用球栅阵列2050实现对封装衬底2100的表面安装。如下所述,2.5D芯片系统2000中可以使用本公开的修复实施例。
图3示出了根据本公开的实施例构造和操作的单个宽输入/输出DRAM通道的修复(DFT)架构。在2.5D实施例中,逻辑管芯3200和DRAM管芯3300安装在中介层3400上。本领域技术人员应理解,此处描述的原理也可应用到3D实施例。
在本实施例中,逻辑管芯3200包括用处理器测试外壳(wrapper)3110包裹的处理器3500。处理器测试外壳3110是IEEE标准号1500测试外壳。处理器测试外壳3110也能包裹至少一个测试外壳寄存器3120A-B,测试外壳指令寄存器(WIR)3130和逻辑到数据适配器3140。
处理器3500包括片上系统(SOC),宽输入/输出控制器和物理层(PHY)。图5中更为详细地描述了处理器3500。
处理器测试外壳3110能够为嵌入式内核和相关电路启动修复再用和集成。处理器测试外壳3110先解决模拟电路问题,然后集中提高片上系统的数字方面的高效修复。此外,处理器测试外壳3110具有串行和并行测试访问机制(TAM)以及一组丰富的用于修复内核、片上系统互连、和电路的指令。此外,处理器测试外壳3110包括能够启动内核隔离和保护的部件。
在一些实施例中,测试外壳指令寄存器3130是IEEE1500号测试外壳指令寄存器。图4示出了根据本公开的实施例构造和操作的测试外壳指令寄存器3130和逻辑到数据适配器3140的实施方式。
重新参看图3,逻辑管芯3200进一步包括边界扫描包装3150。在一些实施例中,边界扫描测试外壳3150是启动板的部件修复的IEEE标准1149.1号测试外壳架构和访问机制。边界扫描测试外壳3150包裹处理器测试外壳3110、边界寄存器3160、边界扫描测试外壳指令寄存器3170以及任意的输入/输出焊盘3180。边界扫描测试外壳指令寄存器3170是IEEE1149.1号测试外壳指令寄存器。
由逻辑/处理器管芯3200上的控制逻辑3200控制通道选择/旁路逻辑3330和边界扫描寄存器3320。
控制逻辑3200由测试外壳指令寄存器3130控制或由顶层边界扫描测试外壳指令寄存器3170直接控制。
本领域技术人员应该理解,逻辑管芯管脚连接到中介层3100或具有边界扫描逻辑的衬底。
为了实现已知合格管芯(KGD,KnownGoodDie)修复,将逻辑测试管脚(未示出)连接到探针焊盘,且为了FT/KGS修复,将逻辑测试管脚(未示出)连接到C4凸块。
DRAM管芯3300包括DRAM3310、DRAM边界扫描寄存器3320、DRAM通道选择/旁路逻辑3330、以及输入/输出焊盘3340。DRAM通道选择/旁路逻辑3330执行为逻辑管芯3200和DRAM管芯3300之间的接口。更应该了解,可以选择单独通道用于逻辑管芯3200和DRAM管芯3300之间的互连修复。
DRAM管芯3300中每个管芯具有四个DRAM通道3310A-D,且每个通道中有四个区(bank)。每个通道3310均具有各自的边界扫描信号,而在所有的通道中只分享一个SSEN信号(全局扫描启动)。此外,DRAM管芯3300也可以包括输入/输出焊盘3340。
图5示出了根据本公开的实施例构造和操作的构成宽输入/输出堆叠DRAM管芯的修复架构的一部分的处理器3500的实施方式。本领域技术人员应理解,本文所述原理也可以应用到2.55D和3D实施例中。
如上所述,处理器3500包括片上系统3502、每个DRAM通道3310的宽输入/输出控制器3512和物理层(PHY)3514。如图5所示,处理器3500进一步包括互连结构(fabric)3510、片上内置修复分析器(BIRA)3506、修复控制器3516、修复数据寄存器3508、eFUSE修复定序器3518、和通用输入/输出(GPIO)管脚3520。下面描述了如何使用这些部件。
使用宽输入/输出控制器3512A-D,片上内置修复分析器3606能够收集每个DRAM通道3310A-D的故障数据。在一些实施例中,宽I/O控制器3512具有存储器内置自测(MBIST)能力。
一些实施例中包括一个以上的片上内置修复分析器3606。而其他实施例中包括每个宽输入/输出控制器3512A-D的内置修复分析器3506A-D。
修复控制器3516是eFUSE修复控制器。eFUSE修复控制器3516允许电脑芯片进行动态的实时重组。通过使用eFUSE修复控制器3516,可以改变正在运行中的修复数据寄存器3508和修复定序器3518以及片上电路。在一些实施例中,修复定序器3518是eFUSE修复定序器。
此外,内置修复分析器3606能够读取和修复行/列信息且生成相应的ATE的指令。eFUSE修复定序器3518通过配置的通用输入/输出管脚3520向DRAM管芯发送指令。
因此,上文描述的修复架构实施例不依赖于任何特定电路的实施方式,并且可以生成任意的修复指令。
图6示出了利用本文所述的修复架构的方法6000。在步骤块6002中,方法6000使用宽输入/输出控制器通过物理层与DRAM通道通信。在一些实施例中,DRAM通道可能位于封装衬底上的中介层上的存储器芯片中。利用本文所述的各种修复架构实施例进行方法6000。在步骤块6004中,方法6000使用内置修复分析器(BIRA)收集来自宽输入/输出控制器的通信故障数据。在步骤块6006中,方法6000分析通信故障数据以确定DRAM通道中的故障DRAM列和行。使用BIRA进行步骤6006的分析。在步骤块6008中,方法6000生成修复故障DRAM列和行的修复指令。在一些实施例中,使用修复控制器执行生成修复指令的步骤。在步骤块6010中,方法6000按顺序排列生成的修复指令。在一些实施例中,使用修复定序器进行步骤块6010的排列。在一些实施例中,修复控制器是eFUSE修复控制器,而在一些实施例中,修复定序器是eFUSE修复定序器。在一些实施例中,方法6000也包括使用GPIO接收来自修复控制器的修复指令。
上文关于实施例的描述有助于本领域的技术人员实践本发明。本领域的技术人员应理解,这些实施例的各种修改,在不使用本发明的权力的情况下,本文界定的通用原理也可以应用于其他实施例中。因此,本公开并不仅限于已示出的实施例,而在符合已公开的原理和新特征的情况下,允许更大的范围。

Claims (19)

1.一种动态随机存取存储器修复设备,包括:
多个宽输入/输出控制器,被配置成通过物理层与对应的动态随机存取存储器通道进行通信,所述宽输入/输出控制器与所述动态随机存取存储器通道一一对应;
内置修复分析器(BIRA),被配置成收集来自所述宽输入/输出控制器的通信故障数据,并且进一步被配置成分析所述故障数据以确定所述动态随机存取存储器通道中的动态随机存取存储器的故障列和行;以及
修复控制器,被配置成生成修复所述动态随机存取存储器的故障列和行的指令;
所述动态随机存取存储器通道设置在存储器管芯中,所述存储器管芯设置在中介层上。
2.根据权利要求1所述的设备,进一步包括:
修复定序器,用于按顺序排列所生成的修复指令。
3.根据权利要求2所述的设备,进一步包括:
通用输入/输出(GPIO)管脚,被配置成接收来自所述修复控制器的修复指令。
4.根据权利要求3所述的设备,其中,所述修复控制器是eFUSE修复控制器,所述修复定序器是eFUSE修复定序器。
5.根据权利要求4所述的设备,进一步包括:
控制逻辑器,被配置为片上系统(SOC)。
6.一种动态随机存取存储器修复设备,包括:
存储器管芯,包括动态随机存取存储器通道;
逻辑管芯,包括被处理器测试外壳包裹的控制逻辑器,所述处理器测试外壳被配置成启动所述控制逻辑器的测试部件;所述控制逻辑器进一步包括:
多个宽输入/输出控制器,被配置成通过物理层与对应的所述动态随机存取存储器通道进行通信,所述宽输入/输出控制器与所述动态随机存取存储器通道一一对应;
内置修复分析器(BIRA),被配置成收集来自所述宽输入/输出控制器的通信故障数据,并且进一步被配置成分析所述故障数据以确定所述动态随机存取存储器通道中的动态随机存取存储器的故障列和行;
修复控制器,被配置成生成修复所述动态随机存取存储器的故障列和行的指令;
中介层,所述存储器管芯安装在所述中介层上。
7.根据权利要求6所述的设备,进一步包括:
修复定序器,用于按顺序排列所生成的修复指令。
8.根据权利要求7所述的设备,进一步包括:
通用输入/输出(GPIO)管脚,被配置成接收来自所述修复控制器的修复指令。
9.根据权利要求7所述的设备,其中,所述修复控制器是eFUSE修复控制器,所述修复定序器是eFUSE修复定序器。
10.根据权利要求6所述的设备,进一步包括封装衬底,其中,所述中介层设置在所述封装衬底上。
11.根据权利要求10所述的设备,其中,所述逻辑管芯进一步安装在所述中介层上。
12.根据权利要求6所述的设备,其中,所述存储器管芯安装在所述逻辑管芯上。
13.根据权利要求12所述的设备,进一步包括封装衬底,其中,所述逻辑管芯安装在所述封装衬底上。
14.根据权利要求6所述的设备,其中,所述控制逻辑器是片上系统,并且所述逻辑管芯进一步包括:
边界扫描测试外壳,被配置成包裹所述处理器测试外壳,所述边界扫描测试外壳被配置成启动电路板的测试部件,其中,所述处理器测试外壳是电气和电子工程师协会(IEEE)标准1500测试外壳且所述边界扫描测试外壳是电气和电子工程师协会标准1149.1测试外壳。
15.一种动态随机存取存储器修复方法,包括:
使用多个宽输入/输出控制器通过物理层与对应的动态随机存取存储器通道进行通信,所述宽输入/输出控制器与所述动态随机存取存储器通道一一对应;
使用内置修复分析器(BIRA)收集来自所述宽输入/输出控制器的通信故障数据;
使用所述内置修复分析器(BIRA)分析所述通信故障数据,以确定所述动态随机存取存储器通道中的动态随机存取存储器的故障列和行;以及
生成修复指令,以修复所述动态随机存取存储器的故障列和行;
所述动态随机存取存储器通道设置在存储器管芯中,所述存储器管芯设置在中介层上。
16.根据权利要求15所述的方法,其中,使用修复控制器生成所述修复指令。
17.根据权利要求16所述的方法,进一步包括使用修复定序器按顺序排列所生成的修复指令。
18.根据权利要求17所述的方法,进一步包括:使用通用输入/输出(GPIO)管脚接收来自所述修复控制器的修复指令。
19.根据权利要求18所述的方法,其中,所述修复控制器是eFUSE修复控制器,所述修复定序器是eFUSE修复定序器。
CN201210291516.7A 2011-08-17 2012-08-15 基于宽i/o dram的2.5d/3d系统芯片的dram修复架构 Active CN102956271B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161524548P 2011-08-17 2011-08-17
US61/524,548 2011-08-17
US13/489,199 US8873320B2 (en) 2011-08-17 2012-06-05 DRAM repair architecture for wide I/O DRAM based 2.5D/3D system chips
US13/489,199 2012-06-05

Publications (2)

Publication Number Publication Date
CN102956271A CN102956271A (zh) 2013-03-06
CN102956271B true CN102956271B (zh) 2015-12-16

Family

ID=47712558

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210291516.7A Active CN102956271B (zh) 2011-08-17 2012-08-15 基于宽i/o dram的2.5d/3d系统芯片的dram修复架构

Country Status (2)

Country Link
US (1) US8873320B2 (zh)
CN (1) CN102956271B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8914692B2 (en) * 2011-08-17 2014-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. DRAM test architecture for wide I/O DRAM based 2.5D/3D system chips
US8634221B2 (en) * 2011-11-01 2014-01-21 Avago Technologies General Ip (Singapore) Pte. Ltd. Memory system that utilizes a wide input/output (I/O) interface to interface memory storage with an interposer and that utilizes a SerDes interface to interface a memory controller with an integrated circuit, and a method
US9613917B2 (en) 2012-03-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package (PoP) device with integrated passive device in a via
US9389944B1 (en) 2012-09-07 2016-07-12 Mentor Graphics Corporation Test access architecture for multi-die circuits
US9165887B2 (en) 2012-09-10 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with discrete blocks
US9689918B1 (en) * 2012-09-18 2017-06-27 Mentor Graphics Corporation Test access architecture for stacked memory and logic dies
US9391041B2 (en) 2012-10-19 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out wafer level package structure
KR20140067727A (ko) * 2012-11-27 2014-06-05 삼성전자주식회사 멀티칩 패키지 및 이의 제조 방법
KR102032887B1 (ko) * 2012-12-10 2019-10-16 삼성전자 주식회사 반도체 패키지 및 반도체 패키지의 라우팅 방법
US9016552B2 (en) * 2013-03-15 2015-04-28 Sanmina Corporation Method for forming interposers and stacked memory devices
US9087765B2 (en) * 2013-03-15 2015-07-21 Qualcomm Incorporated System-in-package with interposer pitch adapter
US9361254B2 (en) * 2013-08-09 2016-06-07 Nvidia Corporation Memory device formed with a semiconductor interposer
US9373527B2 (en) 2013-10-30 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on package structure and method
US20150287697A1 (en) * 2014-04-02 2015-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US9406650B2 (en) 2014-01-31 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of packaging semiconductor devices and packaged semiconductor devices
US9727409B2 (en) 2014-06-17 2017-08-08 Samsung Electronics Co., Ltd. Device and system including adaptive repair circuit
USRE50078E1 (en) 2014-06-17 2024-08-13 Samsung Electronics Co., Ltd. Device and system including adaptive repair circuit
US10409742B2 (en) 2015-10-07 2019-09-10 Rambus Inc. Interface for memory readout from a memory component in the event of fault
US10630296B2 (en) * 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
US10891204B2 (en) 2018-01-31 2021-01-12 Samsung Electronics Co., Ltd. Memory system, a method of determining an error of the memory system and an electronic apparatus having the memory system
TWI696113B (zh) * 2019-01-02 2020-06-11 慧榮科技股份有限公司 用來進行組態管理之方法以及資料儲存裝置及其控制器
US10742211B1 (en) * 2019-07-31 2020-08-11 Google Llc Power sequencing in an active silicon interposer
KR20230045177A (ko) 2021-09-28 2023-04-04 삼성전자주식회사 스토리지 장치 및 스토리지 장치의 동작 방법
CN115547404A (zh) * 2022-11-30 2022-12-30 普赛微科技(杭州)有限公司 用于先进封装mram存储器的测试架构及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1624807A (zh) * 2003-11-19 2005-06-08 国际商业机器公司 采用时钟倍频器对嵌入式存储器进行自动比特故障映射
CN101290804A (zh) * 2007-04-18 2008-10-22 智原科技股份有限公司 内建备份元件分析器以及备份元件分析方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6728916B2 (en) * 2001-05-23 2004-04-27 International Business Machines Corporation Hierarchical built-in self-test for system-on-chip design
US20040123181A1 (en) * 2002-12-20 2004-06-24 Moon Nathan I. Self-repair of memory arrays using preallocated redundancy (PAR) architecture
US7477535B2 (en) * 2006-10-05 2009-01-13 Nokia Corporation 3D chip arrangement including memory manager
US8110899B2 (en) * 2006-12-20 2012-02-07 Intel Corporation Method for incorporating existing silicon die into 3D integrated stack
KR101078740B1 (ko) * 2009-12-31 2011-11-02 주식회사 하이닉스반도체 스택 패키지 및 그의 제조방법
US8421245B2 (en) * 2010-12-22 2013-04-16 Intel Corporation Substrate with embedded stacked through-silicon via die

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1624807A (zh) * 2003-11-19 2005-06-08 国际商业机器公司 采用时钟倍频器对嵌入式存储器进行自动比特故障映射
CN101290804A (zh) * 2007-04-18 2008-10-22 智原科技股份有限公司 内建备份元件分析器以及备份元件分析方法

Also Published As

Publication number Publication date
US20130044554A1 (en) 2013-02-21
US8873320B2 (en) 2014-10-28
CN102956271A (zh) 2013-03-06

Similar Documents

Publication Publication Date Title
CN102956271B (zh) 基于宽i/o dram的2.5d/3d系统芯片的dram修复架构
Marinissen et al. 3D DfT architecture for pre-bond and post-bond testing
CN102956273B (zh) 用于基于2.5d/3d系统芯片的宽i/o dram的dram测试架构
Marinissen et al. Testing 3D chips containing through-silicon vias
Noia et al. Optimization methods for post-bond die-internal/external testing in 3D stacked ICs
Marinissen et al. A DfT architecture for 3D-SICs based on a standardizable die wrapper
Deutsch et al. DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks
KR20130006457A (ko) Tsv-기반 3d 스택 ic에 대한 테스트 액세스 아키텍쳐
Chi et al. DfT architecture for 3D-SICs with multiple towers
CN112595966A (zh) 一种基于IEEE标准Chiplet电路测试方法
Xu et al. Yield enhancement for 3D-stacked ICs: Recent advances and challenges
TWI545713B (zh) 半導體裝置
Chou et al. A test integration methodology for 3D integrated circuits
TW200400356A (en) Instrument initiated communication for automatic test equipment
Noia et al. Optimization methods for post-bond testing of 3D stacked ICs
Deutsch et al. Automation of 3D-DfT insertion
KR101094945B1 (ko) 반도체 장치 및 이의 프로브 테스트 방법
Beanato et al. Design and testing strategies for modular 3-D-multiprocessor systems using die-level through silicon via technology
Milojevic et al. Design issues in heterogeneous 3D/2.5 D integration
CN103778966A (zh) 堆叠芯片模块及其制造和维修方法
Papameletis et al. A dft architecture and tool flow for 3-d sics with test data compression, embedded cores, and multiple towers
CN101957430B (zh) 一种可降低噪声的边界扫描测试方法和装置
Wang et al. ExTest scheduling for 2.5 D system-on-chip integrated circuits
Ye et al. Autonomous testing for 3D-ICs with IEEE std. 1687
Taouil et al. Exploring test opportunities for memory and interconnects in 3D ICs

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant