US20150287697A1 - Semiconductor Device and Method - Google Patents

Semiconductor Device and Method Download PDF

Info

Publication number
US20150287697A1
US20150287697A1 US14/243,517 US201414243517A US2015287697A1 US 20150287697 A1 US20150287697 A1 US 20150287697A1 US 201414243517 A US201414243517 A US 201414243517A US 2015287697 A1 US2015287697 A1 US 2015287697A1
Authority
US
United States
Prior art keywords
semiconductor die
substrate
semiconductor device
semiconductor
encapsulant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/243,517
Inventor
Chen-Yu Tsai
Tsung-Shang Wei
Yu-Sheng Lin
Wen-Chih Chiou
Shin-puu Jeng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/243,517 priority Critical patent/US20150287697A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIOU, WEN-CHIH, JENG, SHIN-PUU, LIN, YU-SHENG, TSAI, CHEN-YU, WEI, TSUNG-SHANG
Priority to US14/257,833 priority patent/US9406650B2/en
Priority to CN201410256010.1A priority patent/CN104979334B/en
Publication of US20150287697A1 publication Critical patent/US20150287697A1/en
Priority to US15/212,782 priority patent/US9806062B2/en
Priority to US15/418,065 priority patent/US10510561B2/en
Priority to US16/705,334 priority patent/US11488842B2/en
Priority to US17/099,180 priority patent/US20210090906A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4817Conductive parts for containers, e.g. caps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/16Fillings or auxiliary members in containers or encapsulations, e.g. centering rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1143Manufacturing methods by blanket deposition of the material of the bump connector in solid form
    • H01L2224/11436Lamination of a preform, e.g. foil, sheet or layer
    • H01L2224/1144Lamination of a preform, e.g. foil, sheet or layer by transfer printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/1579Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/37Effects of the manufacturing process
    • H01L2924/37001Yield

Definitions

  • stacked and bonded semiconductor devices have emerged as an effective alternative to further reduce the physical size of a semiconductor device.
  • active circuits such as logic, memory, processor circuits and the like are fabricated at least partially on separate substrates and then physically and electrically bonded together in order to form a functional device.
  • Such bonding processes utilize sophisticated techniques, and improvements are desired.
  • FIG. 1 illustrates a first semiconductor die and a second semiconductor die bonded to a first substrate in accordance with some embodiments
  • FIG. 2 illustrates a formation of a protective cap in accordance with some embodiments
  • FIG. 3 illustrates a placement of an encapsulant in accordance with some embodiments
  • FIG. 4 illustrates a removal of a portion of the encapsulant in accordance with some embodiments
  • FIG. 5 illustrates an attachment of a carrier in accordance with some embodiments
  • FIG. 6 illustrates a thinning of the first substrate in accordance with some embodiments
  • FIG. 7 illustrates a bonding of the first substrate to a second substrate in accordance with some embodiments
  • FIG. 8 illustrates a removal of encapsulant that does not remove the capping layer in accordance with an embodiment
  • FIG. 9 illustrates an attachment of a carrier to the protective cap in accordance with some embodiments.
  • FIG. 10 illustrates a bonding of the first substrate to a second substrate in accordance with some embodiments.
  • FIG. 11 illustrates a process flow chart in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • first semiconductor die 101 and a second semiconductor die 103 attached to a first substrate 105 .
  • the first semiconductor die 101 and the second semiconductor die 103 may be semiconductor devices such as logic dies, DRAM dies, SRAM dies, combinations of these, or the like.
  • the first semiconductor die 101 and the second semiconductor die 103 may be the same type of device (e.g., both be DRAM dies), they may alternatively be different types of dies (e.g., the first semiconductor die 101 may be a logic die and the second semiconductor die 103 may be a DRAM die).
  • the first semiconductor die 101 and the second semiconductor die 103 may also comprise a stack of multiple dies. Any suitable combination of semiconductor dies, and any number of semiconductor dies, may alternatively be utilized, and all such numbers, combinations, and functionalities are fully intended to be included within the scope of the embodiments.
  • the first semiconductor die 101 may comprise a second substrate 107 , first active devices on the first substrate (not individually illustrated), first metallization layers (represented in FIG. 1 by the single layer labeled 109 ), a first passivation layer 110 , and first external contacts 111 (illustrated in FIG. 1 as already bonded to third external contacts 123 , discussed further below).
  • the second substrate 107 may comprise bulk silicon, doped or undoped, or an active layer of a silicon-on-insulator (SOI) substrate.
  • SOI substrate comprises a layer of a semiconductor material such as silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof.
  • Other substrates that may be used include multi-layered substrates, gradient substrates, or hybrid orientation substrates.
  • the first active devices comprise a wide variety of active devices and passive devices such as capacitors, resistors, inductors and the like that may be used to generate the desired structural and functional requirements of the design for the first semiconductor die 101 .
  • the first active devices may be formed using any suitable methods either within or else on the second substrate 107 .
  • the first metallization layers 109 are formed over the second substrate 107 and the first active devices and are designed to connect the various first active devices to form functional circuitry.
  • the first metallization layers 109 are formed of alternating layers of dielectric and conductive material and may be formed through any suitable process (such as deposition, damascene, dual damascene, etc.).
  • ILD interlayer dielectric layer
  • the first passivation layer 110 may be formed over the first metallization layers in order to provide a degree of protection for the underlying structures.
  • the first passivation layer 110 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like.
  • the first passivation layer 110 may be formed through a process such as chemical vapor deposition (CVD), although any suitable process may be utilized, and may have a thickness between about 0.5 ⁇ m and about 5 ⁇ m, such as about 9.25 K ⁇ .
  • CVD chemical vapor deposition
  • the first external contacts 111 may be formed through the first passivation layer 110 to provide conductive regions for contact between the first metallization layers 109 and, e.g., third external contacts 123 (illustrated in FIG. 1 as already bonded to the first external contacts 111 ) that are on the first substrate 105 .
  • the first external contacts 111 may be contact bumps such as microbumps and may comprise a material such as tin, or other suitable materials, such as silver or copper.
  • the first external contacts 111 are tin solder bumps
  • the first external contacts 111 may be formed by initially forming a layer of tin through any suitable method such as evaporation, electroplating, printing, solder transfer, ball placement. Once a layer of tin has been formed on the structure, a reflow is performed in order to shape the material into the desired bump shape with a diameter of about, e.g., 20 ⁇ m, although any suitable size may alternatively be utilized.
  • first external contacts have been described above as microbumps, these are merely intended to be illustrative and are not intended to limit the embodiments. Rather, any suitable type of external contacts, such as controlled collapse chip connection (C4) bumps, copper pillars, a copper layer, a nickel layer, a lead free (LF) layer, an electroless nickel electroless palladium immersion gold (ENEPIG) layer, a Cu/LF layer, a Sn/Ag layer, a Sn/Pb, combinations of these, or the like, may alternatively be utilized. Any suitable external connector, and any suitable process for forming the external connectors, may be utilized for the first external contacts 111 , and all such external connectors are fully intended to be included within the scope of the embodiments.
  • C4 controlled collapse chip connection
  • LF lead free
  • ENEPIG electroless nickel electroless palladium immersion gold
  • the second semiconductor die 103 may comprise a third substrate 113 , second active devices (not individually illustrated in FIG. 1 ), second metallization layers (represented in FIG. 1 by the single layer labeled 115 ), a second passivation layer 116 , and second external contacts 117 (illustrated in FIG. 1 as already bonded to the third external contacts 123 ).
  • the third substrate 113 , the second active devices, the second metallization layers 115 , the second passivation layer 116 , and the second external contacts 117 may be similar to the second substrate 107 , the first active devices, the first metallization layers 109 , the first passivation layer 110 , and the first external contacts 111 , respectively, although they may alternatively be different materials formed from different processes.
  • the precise placement and formation of the various devices and layers will be dependent at least in part on the desired functionality of the second semiconductor die 103 .
  • the first substrate 105 may be part of, e.g., an interposer 600 (not illustrated as complete in FIG. 1 but illustrated and described below with respect to FIG. 6 ) with through substrate vias (TSVs) 601 (also not illustrated in FIG. 1 but illustrated and described below with respect to FIG. 6 ).
  • the first substrate 105 may be, e.g., a silicon substrate, doped or undoped, or an active layer of a silicon-on-insulator (SOI) substrate.
  • SOI silicon-on-insulator
  • the first substrate 105 may alternatively be a glass substrate, a ceramic substrate, a polymer substrate, or any other substrate that may provide a suitable protection and/or interconnection functionality. These and any other suitable materials may alternatively be used for the first substrate 105 .
  • the first substrate 105 may include electrical elements, such as resistors, capacitors, signal distribution circuitry, combinations of these, or the like. These electrical elements may be active, passive, or a combination thereof. In other embodiments, the first substrate 105 is free from both active and passive electrical elements therein. All such combinations are fully intended to be included within the scope of the embodiments.
  • the first substrate 105 is a semiconductor wafer, such as a twelve inch semiconductor wafer, at this stage in the manufacturing process.
  • the first substrate 105 may extend beyond the boundaries illustrated in FIG. 1 to include additional portions that will also comprise TSVs for manufacturing additional structures.
  • the combined structure will be in a chip on wafer (CoW) configuration.
  • the TSVs 601 may be formed by initially forming TSV conductors 121 partially through the first substrate 105 .
  • the TSV conductors 121 may be formed by applying and developing a suitable photoresist to the first substrate 105 and then etching the first substrate 105 to generate TSV openings (filled later as discussed below).
  • the openings for the TSV conductors 121 at this stage may be formed so as to extend into the first substrate 105 to a depth at least greater than the eventual desired height of the finished first substrate 105 . Accordingly, while the depth is dependent upon the overall design of the interposer 600 , the depth may be between about 1 ⁇ m and about 700 ⁇ m below the surface on the first substrate 105 , with a depth of about 50 ⁇ m.
  • the openings for the TSV conductors 121 may be formed to have a diameter of between about 1 ⁇ m and about 100 ⁇ m, such as about 6 ⁇ m.
  • the openings for the TSV conductors 121 may be filled with, e.g., a liner (not separately illustrated in FIG. 1 ), a barrier layer (also not separately illustrated in FIG. 1 ), and a conductive material.
  • the liner may be a dielectric material such as silicon nitride, silicon oxide, a dielectric polymer, combinations of these, or the like, formed by a process such as chemical vapor deposition, oxidation, physical vapor deposition, atomic layer deposition, or the like.
  • the barrier layer may comprise a conductive material such as titanium nitride, although other materials, such as tantalum nitride, titanium, another dielectric, or the like may alternatively be utilized.
  • the barrier layer may be formed using a CVD process, such as PECVD. However, other alternative processes, such as sputtering or metal organic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), may alternatively be used.
  • the barrier layer may be formed so as to contour to the underlying shape of the opening for the TSV conductors 121 .
  • the conductive material may comprise copper, although other suitable materials such as aluminum, tungsten, alloys, doped polysilicon, combinations thereof, and the like, may alternatively be utilized.
  • the conductive material may be formed by depositing a seed layer and then electroplating copper onto the seed layer, filling and overfilling the openings for the TSV conductors 121 . Once the openings for the TSV conductors 121 have been filled, excess barrier layer and excess conductive material outside of the openings for the TSV conductors 121 may be removed through a grinding process such as chemical mechanical polishing (CMP), although any suitable removal process may be used.
  • CMP chemical mechanical polishing
  • a first redistribution layer 119 may be formed on a first side of the first substrate 105 in order to provide interconnectivity between the TSV conductors 121 , the third external contacts 123 , and the first semiconductor die 101 and the second semiconductor die 103 .
  • the first redistribution layer 119 may be formed using common methods for forming interconnect lines in integrated circuits.
  • the first redistribution layer 119 comprises at least one conductive layer formed of a metal such as aluminum, copper, tungsten, titanium, and combinations thereof.
  • the at least one conductive layer may be formed by forming a seed layer, covering the seed layer with a patterned photoresist (not illustrated), and then plating the metal on the seed layer within the openings of the photoresist. Once completed, the photoresist and portions of the seed layer underlying the photoresist are removed, leaving the at least one conductive layer, which may have a thickness of between about 2 ⁇ m and about 30 ⁇ m, with a width of about 5 ⁇ m.
  • the first redistribution layer 119 could be a single layer of conductive material or else could alternatively be multiple layers of conductive material, depending upon the properties desired.
  • the first redistribution layer 119 as formed above may be plated with another conductive material such as gold or chromium to provide good adhesion for a subsequently formed connector (described below). This plating could be done through a process such as CVD.
  • the third passivation layer 120 may be formed over the first redistribution layer 119 , and vias may be formed through the dielectric material to provide electrical access to the first redistribution layer 119 .
  • the third passivation layer 120 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like.
  • the third passivation layer 120 may be formed through a process such as chemical vapor deposition (CVD), although any suitable process may be utilized, and may have a thickness between about 0.5 ⁇ m and about 5 ⁇ m, such as about 9.25 K ⁇ .
  • CVD chemical vapor deposition
  • the third external contacts 123 may be formed in electrical connection with the first redistribution layer 119 on the first side of the first substrate 105 .
  • the third external contacts 123 may be similar to the first external contacts 111 and the second external contacts 117 , such as by being microbumps formed using a reflow process.
  • any suitable type of external contacts, and any other suitable process of formation may alternatively be used, and all such types and processes are fully intended to be included within the scope of the embodiments.
  • the first semiconductor die 101 and the second semiconductor die 103 may be bonded to the first substrate 105 using, e.g., a bonding process.
  • the bonding process may be performed by first aligning the first external contacts 111 and the second external contacts 117 with their respective counterparts among the third external contacts 123 , and then the first external contacts 111 and the second external contacts 117 are placed in physical contact with the third external contacts 123 .
  • a reflow process may then be performed in order to reflow the first external contacts 111 , the second external contacts 117 and the third external contacts 123 , thereby bonding the first external contacts 111 and the second external contacts 117 with the third external contacts 123 .
  • a first underfill material 125 may be injected or otherwise formed in the space between the first semiconductor die 101 , the second semiconductor die 103 , and the first substrate 105 .
  • the first underfill material 125 may, for example, comprise a liquid epoxy that is dispensed between the first semiconductor die 101 , the second semiconductor die 103 , and the first substrate 105 , and then cured to harden. This first underfill material 125 may be used to prevent cracks from being formed in the first external contacts 111 , the second external contacts 117 and the third external contacts 123 , wherein cracks are typically caused by thermal stresses.
  • either a deformable gel or silicon rubber could be formed between the first semiconductor die 101 , the second semiconductor die 103 , and the first substrate 105 in order to help prevent cracks from occurring within the first external contacts 111 , the second external contacts 117 and the third external contacts 123 .
  • This gel or silicon rubber may be formed by injecting or otherwise placing the gel or rubber between the first semiconductor die 101 , the second semiconductor die 103 , and the first substrate 105 .
  • the deformable gel or silicon rubber may also provide stress relief during subsequent processing.
  • FIG. 2 illustrates a formation of a protective cap 201 over the first semiconductor die 101 , over the second semiconductor die 103 , and between the first semiconductor die 101 and the second semiconductor die 103 , in contact with a top surface of the interposer 600 (described below with respect to FIG. 6 ).
  • the protective cap 201 may be conductive in order to provide an equal potential across the first semiconductor die 101 , the second semiconductor die 103 , and the interposer 600 as well as to increase the adhesion between the first semiconductor die 101 , the second semiconductor die 103 , and the interposer 600 .
  • any charge build-up that may occur during the manufacturing process may be equalized across the first semiconductor die 101 , the second semiconductor die 103 , and the interposer 600 . This helps to reduce or eliminate damage or other deleterious effects that result from an unequal charge distribution.
  • the protective cap 201 can be a metal material such as titanium, aluminum, aluminum copper (AlCu), or the like.
  • the protective cap 201 may be formed using a process such as physical vapor deposition, plasma enhanced physical vapor deposition, chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, combinations of these, or the like.
  • the protective cap 201 is also formed to thickness suitable to equalize charge. As such, any suitable thickness that allows for conduction may be utilized, although in some embodiments that thickness may be between about 500 ⁇ and about 2000 ⁇ . However, any suitable conductive material, such as polysilicon, and any other suitable process of formation may also be utilized.
  • the protective cap 201 is discussed above and illustrated in FIG. 2 as a single layer, this is only intended to be illustrative of embodiments and is not intended to be limiting.
  • the protective cap 201 may be a composite layer (represented in FIG. 2 by the dashed line labeled 203 ) that comprises two or more individual layers within the protective cap 201 .
  • the protective cap 201 may comprise a first layer of, e.g., titanium and a second layer of, e.g., aluminum. Any suitable combination of layers to form a composite material for the protective cap 201 may alternatively be utilized.
  • FIG. 3 illustrates a placement of an encapsulant 301 over the first semiconductor die 101 , over the second semiconductor die 103 , and between the first semiconductor die 101 and the second semiconductor die 103 .
  • the encapsulant 301 may be molding compound, polyimide, PPS, PEEK, PES, a heat resistant crystal resin, combinations of these, or the like.
  • the bonded first semiconductor die 101 , second semiconductor die 103 , and first substrate 105 may be placed in a molding chamber (not illustrated), and the encapsulant 301 may be injected or otherwise placed into the molding chamber.
  • the molding chamber shapes the encapsulant 301 into the desired shape in order to encapsulate the first semiconductor die 101 , second semiconductor die 103 , and first substrate 105 in order to provide support and protection to the first semiconductor die 101 , the second semiconductor die 103 , and the first substrate 105 .
  • the encapsulant 301 may be cured in order to harden the encapsulant 301 for optimum protection. While the exact curing process is dependent at least in part on the particular material chosen for the encapsulant 301 , in an embodiment in which molding compound is chosen as the encapsulant 301 , the curing could occur through a process such as heating the encapsulant 301 to between about 100° C. and about 130° C., such as about 125° C. for about 60 sec to about 3000 sec, such as about 600 sec. Additionally, initiators and/or catalysts may be included within the encapsulant 301 to better control the curing process.
  • the encapsulant 301 By placing the encapsulant 301 over the protective cap 201 , the encapsulant 301 will not be in place during the formation of the protective cap 201 .
  • the encapsulant 301 is not present for the process conditions during the PVD process. Because such PVD process conditions can cause outgassing of the material of the encapsulant 301 (e.g., molding compound), such outgassing and its subsequent effects on process equipment in high volume manufacturing processes may be avoided.
  • FIG. 4 illustrates a removal of the encapsulant from surfaces of the first semiconductor die 101 and the second semiconductor die 103 .
  • the encapsulant may be removed or thinned, e.g., using a CMP process whereby chemical etchants and abrasives are utilized to react and grind away the encapsulant 301 until the first semiconductor die 101 and the second semiconductor die 103 have been exposed through the encapsulant 301 , thereby leaving portions of the encapsulant 301 between and around the first semiconductor die 101 and the second semiconductor die 103 .
  • the first semiconductor die 101 and the second semiconductor die 103 may have a planar surface that is also planar with the encapsulant 301 .
  • the CMP process in addition to thinning and removing the encapsulant 301 , will also thin and remove portions of the protective cap 201 .
  • the CMP process will remove portions of the protective cap 201 that are over the first semiconductor die 101 and the second semiconductor die 103 , thereby exposing the surface of the first semiconductor die 101 and the second semiconductor die 103 .
  • the first semiconductor die 101 , the second semiconductor die 103 , the encapsulant 301 and the protective cap 201 will all be planar with each other, and the protective cap 201 does not extend over the first semiconductor die 101 or the second semiconductor die 103 .
  • FIG. 5 illustrates an attachment of a carrier 501 to the exposed first semiconductor die 101 , the second semiconductor die 103 , the protective cap 201 , and the encapsulant 301 using, e.g., an adhesive 503 .
  • the carrier 501 may comprise, for example, glass, silicon oxide, aluminum oxide, and the like.
  • the carrier 501 may have a thickness that is greater than about 12 mils.
  • the adhesive 503 may be used to adhere the carrier 501 to the first semiconductor die 101 and the second semiconductor die 103 .
  • the adhesive 503 may be any suitable adhesive, such as an ultraviolet (UV) glue, which loses its adhesive property when exposed to UV lights.
  • UV ultraviolet
  • other types of adhesives such as pressure sensitive adhesives, radiation curable adhesives, epoxies, combinations of these, or the like, may also be used.
  • Any suitable adhesive may be utilized to adhere the carrier 501 to the first semiconductor die 101 , the second semiconductor die 103 , the encapsulant 301 , and the protective cap 201 , and all such adhesives are fully intended to be included within the scope of the embodiments.
  • FIG. 6 illustrates that, once the carrier 501 has been attached, the first substrate 105 may be further processed to form the interposer 600 .
  • the first substrate 105 is thinned in order to expose the TSV conductors 121 (see, e.g., FIG. 1 ) and form TSVs 601 that extend through the first substrate 105 .
  • the thinning of the second side of the first substrate 105 may either leave the TSVs 601 lined by the liner or else also remove a portion of the liners in order to expose the conductive material.
  • the thinning of the second side of the first substrate 105 may be performed by a combination of grinding, chemical mechanical polishing (CMP) and etching.
  • CMP chemical mechanical polishing
  • a physical grinding process may be performed to initially remove a first amount of the first substrate 105 .
  • the physical grinding process may be followed by a CMP process in order to utilize a combination of chemical reactants and abrasives in order to remove an additional amount of the first substrate 105 and to expose the conductive material within the TSV conductors 121 .
  • an etching process such as a dry etching process, may then be employed to recess the second side of the first substrate 105 , if desired, and allow the TSVs 601 to protrude from the second side of the first substrate 105 .
  • the TSVs 601 may protrude from the second side of the first substrate 105 a distance of between about 0.5 ⁇ m and about 10 ⁇ m, such as about 5 ⁇ m.
  • a fourth passivation layer 604 may be formed in order to protect the TSVs 601 .
  • the fourth passivation layer 604 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like.
  • the fourth passivation layer 604 may be formed through a low temperature process (in order to help avoid any outgassing of the encapsulant 301 ) such as low temperature chemical vapor deposition (LTCVD), and may have a thickness between about 0.5 ⁇ m and about 5 ⁇ m, such as about 9.25 K ⁇ .
  • LTCVD low temperature chemical vapor deposition
  • any suitable deposition process such as CVD, PVD, ALD, combinations of these, or the like, may alternatively be utilized.
  • the fourth passivation layer 604 may also be thinned in order to again expose the TSVs 601 .
  • a chemical mechanical polishing process may be used to thin the fourth passivation layer 604 until the TSVs 601 have been exposed.
  • the fourth passivation layer 604 may be recessed using, e.g., a dry etch, so that the TSVs 601 protrude from the fourth passivation layer 604 .
  • FIG. 6 also illustrates a formation of a second redistribution layer 603 , a fifth passivation layer 605 , and fourth external contacts 607 .
  • the second redistribution layer 603 similar to the first redistribution layer 119 (discussed above with respect to FIG. 1 ) may be formed using common methods for forming interconnect lines in integrated circuits.
  • the second redistribution layer 603 comprises at least one conductive redistribution layer formed of a metal such as aluminum, copper, tungsten, titanium, and combinations thereof.
  • the at least one conductive redistribution layer may be formed by forming a seed layer, covering the seed layer with a patterned photoresist (not illustrated), and then plating the metal on the seed layer within the openings of the photoresist. Once completed, the photoresist and portions of the seed layer underlying the photoresist are removed, leaving the at least one conductive redistribution layer, which may have a thickness of between about 2 ⁇ m and about 30 ⁇ m, with a width of about 5 ⁇ m.
  • the second redistribution layer 603 could be a single layer of conductive material or else could alternatively be multiple layers of conductive material, depending upon the properties desired.
  • the second redistribution layer 603 as formed above may be plated with another conductive material such as gold or chromium to provide good adhesion for a subsequently formed connector (described below). This plating could be done through a process such as CVD.
  • the fifth passivation layer 605 may be formed over the second redistribution layer 603 .
  • the fifth passivation layer 605 may be formed over the second redistribution layer 603 , and vias may be formed through the fifth passivation layer 605 to provide electrical access to the second redistribution layer 603 .
  • the fifth passivation layer 605 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like.
  • the fifth passivation layer 605 may be formed through a process such as low temperature chemical vapor deposition (CVD), although any other suitable process may be utilized, and may have a thickness between about 0.5 ⁇ m and about 5 ⁇ m, such as about 9.25 K ⁇ .
  • CVD low temperature chemical vapor deposition
  • the fourth external contacts 607 may be formed to provide conductive regions for contact between the second redistribution layer 603 and, e.g., fifth external contacts 703 located on a second substrate 701 (not illustrated in FIG. 6 but illustrated and described further below with respect to FIG. 7 ) to help form the interposer 600 .
  • the fourth external contacts 607 may be contact bumps such as controlled collapse chip connection (C4) bumps and may comprise a material such as tin, or other suitable materials, such as silver or copper.
  • C4 controlled collapse chip connection
  • the fourth external contacts 607 may be formed by initially forming a layer of tin through any suitable method such as evaporation, electroplating, printing, solder transfer, ball placement, etc. Once a layer of tin has been formed on the structure, a reflow is performed in order to shape the material into the desired bump shape with a diameter, e.g., of about 80 ⁇ m.
  • fourth external contacts 607 have been described above as C4 bumps, these are merely intended to be illustrative and are not intended to limit the embodiments. Rather, any suitable type of external contacts, such as microbumps, copper pillars, a copper layer, a nickel layer, a lead free (LF) layer, an electroless nickel electroless palladium immersion gold (ENEPIG) layer, a Cu/LF layer, a Sn/Ag layer, a Sn/Pb, combinations of these, or the like, may alternatively be utilized. Any suitable external connector, and any suitable process for forming the external connectors, may be utilized for the fourth external contacts 607 , and all such external connectors are fully intended to be included within the scope of the embodiments.
  • LF lead free
  • EPIG electroless nickel electroless palladium immersion gold
  • FIG. 7 illustrates a second substrate 701 with fifth external contacts 703 (illustrated in FIG. 7 as already bonded to the fourth external contacts 607 ) on a first side of the second substrate 701 and sixth external contacts 705 on a second side of the second substrate 701 .
  • the second substrate 701 may be, e.g., a package substrate that works to provide electrical connectivity to, e.g., a printed circuit board (not shown) or other external devices.
  • the second substrate 701 may comprise multiple conductive layers (not individually illustrated), some of which are inter-layers within the second substrate 701 . These layers may be etched into traces of various widths and lengths and connected through inter-layer vias.
  • the lines and vias may form an electrical network to route DC power, ground, and signals from one side of the second substrate 701 to the other.
  • the second substrate 701 may be fabricated from an organic (laminate) material such as bismaleimide-triazine (BT), a polymer-based material such as liquid-crystal polymer (LCP), a ceramic material such as low-temperature co-fired ceramic (LTCC), a silicon or glass interposer, or the like.
  • organic (laminate) material such as bismaleimide-triazine (BT), a polymer-based material such as liquid-crystal polymer (LCP), a ceramic material such as low-temperature co-fired ceramic (LTCC), a silicon or glass interposer, or the like.
  • the conductive layers and vias may be formed from any suitable conductive material, such as copper, aluminum, silver, gold, other metals, alloys, combination thereof, and/or the like, and formed by any suitable technique, such as electro-chemical plating (ECP), electroless plating, other deposition methods such as sputtering, printing, and chemical vapor deposition (CVD) methods, or the like.
  • ECP electro-chemical plating
  • CVD chemical vapor deposition
  • the second substrate 701 may include electrical elements, such as resistors, capacitors, signal distribution circuitry, combinations of these, or the like. These electrical elements may be active, passive, or a combination thereof. In other embodiments, the second substrate 701 is free from both active and passive electrical elements therein. All such combinations are fully intended to be included within the scope of the embodiments.
  • the second substrate 701 may comprise the fifth external contacts 703 on a first side of the second substrate 701 .
  • the fifth external contacts 703 may be similar to the fourth external contacts 607 on the first substrate 105 (discussed above with respect to FIG. 6 ), such as by being C4 bumps formed using a deposition and reflow process.
  • the fifth external contacts 703 may alternatively be formed using different materials and methods than the fourth external contacts 607 , and all suitable methods and materials may be used for the fourth external contacts 607 .
  • the sixth external contacts 705 may be formed to provide additional connectivity to other devices (not individually illustrated in FIG. 7 ).
  • the sixth external contacts 705 may comprise a ball grid array (BGA) with individual balls.
  • the individual balls may comprise a material such as tin, or other suitable materials, such as silver or copper.
  • the sixth external contacts 705 may be formed by initially sputter depositing a seed layer of conductive material (e.g., an underbump metallization) and then patterning a photolithographic material (not illustrated) over the seed layer of conductive material.
  • an electro-chemical plating (ECP) process may be utilized to plate the exposed portions of the seed layer within the openings of the photolithographic material.
  • ECP electro-chemical plating
  • the photolithographic material may be removed, and the portions of the seed layer that were not plated (because they were covered by the photolithographic material), are removed using, e.g. a dry etch.
  • a reflow is performed in order to shape the material into the desired bump shape with a diameter, e.g., of about 250 ⁇ m.
  • the second substrate 701 may be bonded to the first substrate 105 (and, as such, to the first semiconductor die 101 and the second semiconductor die 103 ).
  • the bonding process may be initiated by first debonding the first semiconductor die 101 , the second semiconductor die 103 , the protective cap 201 , and the encapsulant 301 from the carrier 501 .
  • an ultra-violet glue is utilized as the adhesive 503
  • the adhesive 503 is irradiated with ultra-violet radiation until it loses some or all of its adhesiveness. Accordingly, without the adhesive 503 , the first semiconductor die 101 , the second semiconductor die 103 , the protective cap 201 , and the encapsulant 301 can easily be separated from the carrier 501 .
  • the ultra-violet irradiation technique discussed above may be utilized when the adhesive 503 is an ultra-violet glue
  • other types of adhesive 503 may utilize other methods to debond the first semiconductor die 101 , the second semiconductor die 103 , the protective cap 201 , and the encapsulant 301 from the carrier 501 .
  • a thermal debonding process or a laser debonding process may be utilized, depending upon the precise adhesive 503 chosen.
  • any and all suitable debonding processes may be used to separate the first semiconductor die 101 , the second semiconductor die 103 , the protective cap 201 , and the encapsulant 301 from the carrier 501 , and all of these methods are fully intended to be included within the scope of the embodiments.
  • the bonding process may be continued by next singulating a portion of the first substrate 105 bonded to the first semiconductor die 101 and the second semiconductor die 103 from the remainder of the semiconductor wafer.
  • the singulation may be performed by using a saw blade (not shown) to slice the first substrate 105 within, e.g., scribe lines (e.g., regions devoid of functional circuitry) that are located between regions of the first substrate 105 that comprise functional electrical connections and/or functional circuitry.
  • Such a slicing separates the portion of the first substrate 105 bonded to the first semiconductor die 101 and the second semiconductor die 103 from the remainder of the semiconductor wafer. Additionally, the saw blade also cuts through the encapsulant 301 located over the first substrate 105 .
  • utilizing a saw blade to singulate the first substrate 105 from the semiconductor wafer is merely one illustrative embodiment and is not intended to be limiting.
  • Alternative methods for singulating the first substrate 105 such as utilizing one or more etches to singulate the first substrate 105 from the semiconductor wafer, may alternatively be utilized. These methods and any other suitable methods may alternatively be utilized to singulate the first substrate 105 .
  • the bonding process may be continued by aligning the fourth external contacts 607 and the fifth external contacts 703 to each other.
  • the alignment may be performed using, e.g., a pick-and-place tool to align the fourth external contacts 607 and the fifth external contacts 703 to each other.
  • a robotic tool uses, e.g., a vacuum holder in order to grab and manipulate the bonded first semiconductor die 101 , second semiconductor die 103 , and first substrate 105 , and to then align the fourth external contacts 607 and the fifth external contacts 703 .
  • the fourth external contacts 607 and the fifth external contacts 703 are brought into physical contact with each other. Once in physical contact, a reflow process may be performed in order to reflow the fourth external contacts 607 and the fifth external contacts 703 such that they bond together, thereby bonding the first substrate 105 to the second substrate 701 .
  • a second underfill material 707 may be injected or otherwise formed in the space between the first substrate 105 and the second substrate 701 .
  • the second underfill material 707 may, for example, comprise a liquid epoxy that is dispensed between the first substrate 105 and the second substrate 701 and then cured to harden. This second underfill material 707 may be used to prevent cracks from being formed in the fourth external contacts 607 and the fifth external contacts 703 , wherein cracks are typically caused by thermal stresses.
  • either a deformable gel or silicon rubber could be formed between the first substrate 105 and the second substrate 701 in order to help prevent cracks from occurring within the fourth external contacts 607 and the fifth external contacts 703 .
  • This gel or silicon rubber may be formed by injecting or otherwise placing the gel or rubber between the first substrate 105 and the second substrate 701 .
  • the deformable gel or silicon rubber may also provide stress relief during subsequent processing.
  • a Chip on Wafer on Substrate (CoWoS) structure may be formed.
  • this embodiment has been used in the detailed description, this embodiment is intended to be illustrative and is not intended to limit the embodiments to a CoWoS structure. Rather, any suitable structure may be utilized, and all such structures are fully intended to be included within the scope of the embodiments.
  • the protective cap 201 can equalize charge between the first semiconductor die 101 , the second semiconductor die 103 , and the interposer 600 .
  • Such an equalization allows any charge buildup that may occur during later manufacturing processes to be equalized, thereby reducing or eliminating any damage that may occur through an unequal distribution of charge.
  • Such a reduction helps to prevent damage to the first semiconductor die 101 , the second semiconductor die 103 , and the interposer 600 , increasing overall yield.
  • FIG. 8 illustrates another embodiment in which the protective cap 201 is not removed from the top surfaces of the first semiconductor die 101 and the second semiconductor die 103 during the removal of the encapsulant 301 (described above with respect to FIG. 4 ).
  • the encapsulant 301 is still thinned and removed using a CMP process, but the process is halted or stopped after the encapsulant 301 has been removed from portions of the protective cap 201 but before the protective cap 201 has been removed from the first semiconductor die 101 and the second semiconductor die 103 .
  • the protective cap 201 has a top surface over the first semiconductor die 101 and a top surface over the second semiconductor die 103 , both of which are planar with the encapsulant 301 .
  • FIG. 9 illustrates the placement of the carrier 501 and the adhesive 503 after the encapsulant 301 has been thinned.
  • the carrier 501 and adhesive 503 may be attached as described above with respect to FIG. 5 .
  • the adhesive 503 is placed in contact with the protective cap 201 over the first semiconductor die 101 and over the second semiconductor die 103 , and is also placed in contact with the encapsulant 301 .
  • FIG. 9 also illustrates that, once the carrier 501 and adhesive 503 have been attached to the protective cap 201 and encapsulant 301 , the first substrate 105 may be thinned, and the fourth passivation layer 604 , the second redistribution layer 603 , the fifth passivation layer 605 , and the fourth external contacts 607 may be formed on the second side of the first substrate 105 . These processes may be performed as described above with respect to FIG. 6 .
  • FIG. 10 illustrates a bonding of the first substrate 105 to the second substrate 701 (with the fifth external contacts 703 and the sixth external contacts 705 ).
  • the first substrate 105 may be bonded to the second substrate 701 in a similar fashion as described above with respect to FIG. 7 .
  • the fifth external contacts 703 are aligned and placed in physical contact with the fourth external contacts 607 and a reflow process is performed.
  • any suitable bonding process may alternatively be utilized.
  • the charge distribution within the protective cap 201 can be evenly distributed, as it now includes the regions above the first semiconductor die 101 and the second semiconductor die 103 . Additionally, the protective cap 201 can provide physical additional protection to the first semiconductor die 101 and the second semiconductor die 103 . As such, damage from an uneven charge distribution, as well as physical damage, may be reduced or eliminated, allowing for a larger overall yield.
  • FIG. 11 illustrates a flow chart for forming the structures described herein.
  • a first step 1101 comprises attaching the first semiconductor die 101 and the second semiconductor die 103 to the first substrate 105 .
  • the protective cap 201 is applied to the first semiconductor die 101 and the second semiconductor die 103 and the first substrate 105 .
  • the encapsulant 301 is applied over the protective cap 201 , the first semiconductor die 101 and the second semiconductor die 103 .
  • portions of the encapsulant 301 and the protective cap 201 are removed from the top surfaces of the first semiconductor die 101 and the second semiconductor die 103 .
  • the protective cap 201 may be left over the first semiconductor die 101 and the second semiconductor die 103 .
  • a carrier 501 is attached to either the protective cap 201 or the first semiconductor die 101 and the second semiconductor die 103 .
  • the first substrate 105 is thinned to form the TSVs 601 .
  • the fourth external contacts 607 are formed on the thinned side of the first substrate 105 .
  • a portion of the first substrate 105 is singulated from a remainder of the semiconductor wafer.
  • the singulated portion of the first substrate 105 is bonded to the second substrate 701 .
  • the protective cap 201 can be used to evenly distribute charges that can build up during processing. These charges, if left unchecked, may potentially damage the semiconductor device and potentially render it useless. However, by evenly distributing the charge that builds up, the damage caused by such a charge will be reduced or eliminated, leading to an overall increase in yield.
  • a semiconductor device comprising a first semiconductor device bonded to a first side of a first substrate, the first semiconductor device comprising a first sidewall.
  • a second semiconductor device is bonded to the first side of the first substrate, the second semiconductor device comprising a second sidewall.
  • a conductive protective cap is in physical contact with the first sidewall, the second sidewall, and the first substrate, and an encapsulant is between the first semiconductor device and the second semiconductor device, the encapsulant over at least a portion of the conductive protective cap.
  • a semiconductor device comprising a first semiconductor die laterally separated from a second semiconductor die.
  • a conductive layer extends from a sidewall of the first semiconductor die to a sidewall of the second semiconductor die, wherein the conductive layer covers the sidewall of the first semiconductor die and the sidewall of the second semiconductor die.
  • An encapsulant is over the conductive layer and between the first semiconductor die and the second semiconductor die.
  • a first substrate is bonded to the first semiconductor die and the second semiconductor die; and a second substrate bonded to the first substrate opposite the first semiconductor die.
  • a method of manufacturing a semiconductor device comprising bonding a first semiconductor die and a second semiconductor die to a first substrate.
  • a conductive capping layer is formed over the first semiconductor die, the second semiconductor die, and the first substrate.
  • An encapsulant is applied over the conductive capping layer, and a portion of the encapsulant is removed, wherein the removing the portion of the encapsulant exposes the conductive capping layer.

Abstract

In accordance with an embodiment a first semiconductor die and a second semiconductor die are bonded to a first substrate. A protective cap is formed over and between the first semiconductor die and the second semiconductor die. An encapsulant is placed over the protective layer and portions of the encapsulant are removed in order to expose the protective cap or, alternatively, to expose the first semiconductor device and the second semiconductor device. The first substrate may then be bonded to a second substrate.

Description

    BACKGROUND
  • The semiconductor industry has experienced rapid growth due to continuous improvements in the integration density of a variety of electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density has come from repeated reductions in minimum feature size (e.g., shrinking the semiconductor process node towards the sub-20 nm node), which allows more components to be integrated into a given area. As the demand for miniaturization, higher speed and greater bandwidth, as well as lower power consumption and latency has grown recently, there has grown a need for smaller and more creative packaging techniques of semiconductor dies.
  • As semiconductor technologies further advance, stacked and bonded semiconductor devices have emerged as an effective alternative to further reduce the physical size of a semiconductor device. In a stacked semiconductor device, active circuits such as logic, memory, processor circuits and the like are fabricated at least partially on separate substrates and then physically and electrically bonded together in order to form a functional device. Such bonding processes utilize sophisticated techniques, and improvements are desired.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 illustrates a first semiconductor die and a second semiconductor die bonded to a first substrate in accordance with some embodiments;
  • FIG. 2 illustrates a formation of a protective cap in accordance with some embodiments;
  • FIG. 3 illustrates a placement of an encapsulant in accordance with some embodiments;
  • FIG. 4 illustrates a removal of a portion of the encapsulant in accordance with some embodiments;
  • FIG. 5 illustrates an attachment of a carrier in accordance with some embodiments;
  • FIG. 6 illustrates a thinning of the first substrate in accordance with some embodiments;
  • FIG. 7 illustrates a bonding of the first substrate to a second substrate in accordance with some embodiments;
  • FIG. 8 illustrates a removal of encapsulant that does not remove the capping layer in accordance with an embodiment;
  • FIG. 9 illustrates an attachment of a carrier to the protective cap in accordance with some embodiments;
  • FIG. 10 illustrates a bonding of the first substrate to a second substrate in accordance with some embodiments; and
  • FIG. 11 illustrates a process flow chart in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • With reference now to FIG. 1, there is illustrated a first semiconductor die 101 and a second semiconductor die 103 attached to a first substrate 105. In an embodiment the first semiconductor die 101 and the second semiconductor die 103 may be semiconductor devices such as logic dies, DRAM dies, SRAM dies, combinations of these, or the like. Additionally, while the first semiconductor die 101 and the second semiconductor die 103 may be the same type of device (e.g., both be DRAM dies), they may alternatively be different types of dies (e.g., the first semiconductor die 101 may be a logic die and the second semiconductor die 103 may be a DRAM die). The first semiconductor die 101 and the second semiconductor die 103 may also comprise a stack of multiple dies. Any suitable combination of semiconductor dies, and any number of semiconductor dies, may alternatively be utilized, and all such numbers, combinations, and functionalities are fully intended to be included within the scope of the embodiments.
  • The first semiconductor die 101 may comprise a second substrate 107, first active devices on the first substrate (not individually illustrated), first metallization layers (represented in FIG. 1 by the single layer labeled 109), a first passivation layer 110, and first external contacts 111 (illustrated in FIG. 1 as already bonded to third external contacts 123, discussed further below). In an embodiment the second substrate 107 may comprise bulk silicon, doped or undoped, or an active layer of a silicon-on-insulator (SOI) substrate. Generally, an SOI substrate comprises a layer of a semiconductor material such as silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. Other substrates that may be used include multi-layered substrates, gradient substrates, or hybrid orientation substrates.
  • The first active devices comprise a wide variety of active devices and passive devices such as capacitors, resistors, inductors and the like that may be used to generate the desired structural and functional requirements of the design for the first semiconductor die 101. The first active devices may be formed using any suitable methods either within or else on the second substrate 107.
  • The first metallization layers 109 are formed over the second substrate 107 and the first active devices and are designed to connect the various first active devices to form functional circuitry. In an embodiment the first metallization layers 109 are formed of alternating layers of dielectric and conductive material and may be formed through any suitable process (such as deposition, damascene, dual damascene, etc.). In an embodiment there may be four layers of metallization separated from the second substrate 107 by at least one interlayer dielectric layer (ILD), but the precise number of first metallization layers 109 is dependent upon the design of the first semiconductor die 101.
  • The first passivation layer 110 may be formed over the first metallization layers in order to provide a degree of protection for the underlying structures. The first passivation layer 110 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like. The first passivation layer 110 may be formed through a process such as chemical vapor deposition (CVD), although any suitable process may be utilized, and may have a thickness between about 0.5 μm and about 5 μm, such as about 9.25 KÅ.
  • The first external contacts 111 may be formed through the first passivation layer 110 to provide conductive regions for contact between the first metallization layers 109 and, e.g., third external contacts 123 (illustrated in FIG. 1 as already bonded to the first external contacts 111) that are on the first substrate 105. In an embodiment the first external contacts 111 may be contact bumps such as microbumps and may comprise a material such as tin, or other suitable materials, such as silver or copper. In an embodiment in which the first external contacts 111 are tin solder bumps, the first external contacts 111 may be formed by initially forming a layer of tin through any suitable method such as evaporation, electroplating, printing, solder transfer, ball placement. Once a layer of tin has been formed on the structure, a reflow is performed in order to shape the material into the desired bump shape with a diameter of about, e.g., 20 μm, although any suitable size may alternatively be utilized.
  • However, as one of ordinary skill in the art will recognize, while the first external contacts have been described above as microbumps, these are merely intended to be illustrative and are not intended to limit the embodiments. Rather, any suitable type of external contacts, such as controlled collapse chip connection (C4) bumps, copper pillars, a copper layer, a nickel layer, a lead free (LF) layer, an electroless nickel electroless palladium immersion gold (ENEPIG) layer, a Cu/LF layer, a Sn/Ag layer, a Sn/Pb, combinations of these, or the like, may alternatively be utilized. Any suitable external connector, and any suitable process for forming the external connectors, may be utilized for the first external contacts 111, and all such external connectors are fully intended to be included within the scope of the embodiments.
  • The second semiconductor die 103 may comprise a third substrate 113, second active devices (not individually illustrated in FIG. 1), second metallization layers (represented in FIG. 1 by the single layer labeled 115), a second passivation layer 116, and second external contacts 117 (illustrated in FIG. 1 as already bonded to the third external contacts 123). In an embodiment the third substrate 113, the second active devices, the second metallization layers 115, the second passivation layer 116, and the second external contacts 117 may be similar to the second substrate 107, the first active devices, the first metallization layers 109, the first passivation layer 110, and the first external contacts 111, respectively, although they may alternatively be different materials formed from different processes. For example, the precise placement and formation of the various devices and layers will be dependent at least in part on the desired functionality of the second semiconductor die 103.
  • The first substrate 105 may be part of, e.g., an interposer 600 (not illustrated as complete in FIG. 1 but illustrated and described below with respect to FIG. 6) with through substrate vias (TSVs) 601 (also not illustrated in FIG. 1 but illustrated and described below with respect to FIG. 6). In this embodiment the first substrate 105 may be, e.g., a silicon substrate, doped or undoped, or an active layer of a silicon-on-insulator (SOI) substrate. However, the first substrate 105 may alternatively be a glass substrate, a ceramic substrate, a polymer substrate, or any other substrate that may provide a suitable protection and/or interconnection functionality. These and any other suitable materials may alternatively be used for the first substrate 105.
  • In some embodiments, the first substrate 105 may include electrical elements, such as resistors, capacitors, signal distribution circuitry, combinations of these, or the like. These electrical elements may be active, passive, or a combination thereof. In other embodiments, the first substrate 105 is free from both active and passive electrical elements therein. All such combinations are fully intended to be included within the scope of the embodiments.
  • Additionally, in some embodiments the first substrate 105 is a semiconductor wafer, such as a twelve inch semiconductor wafer, at this stage in the manufacturing process. For example, the first substrate 105 may extend beyond the boundaries illustrated in FIG. 1 to include additional portions that will also comprise TSVs for manufacturing additional structures. As such, when the first semiconductor die 101 and the second semiconductor die 103 are bonded to the first substrate 105 (as described further below still with respect to FIG. 1), the combined structure will be in a chip on wafer (CoW) configuration.
  • The TSVs 601 may be formed by initially forming TSV conductors 121 partially through the first substrate 105. The TSV conductors 121 may be formed by applying and developing a suitable photoresist to the first substrate 105 and then etching the first substrate 105 to generate TSV openings (filled later as discussed below). The openings for the TSV conductors 121 at this stage may be formed so as to extend into the first substrate 105 to a depth at least greater than the eventual desired height of the finished first substrate 105. Accordingly, while the depth is dependent upon the overall design of the interposer 600, the depth may be between about 1 μm and about 700 μm below the surface on the first substrate 105, with a depth of about 50 μm. The openings for the TSV conductors 121 may be formed to have a diameter of between about 1 μm and about 100 μm, such as about 6 μm.
  • Once the openings for the TSV conductors 121 have been formed, the openings for the TSV conductors 121 may be filled with, e.g., a liner (not separately illustrated in FIG. 1), a barrier layer (also not separately illustrated in FIG. 1), and a conductive material. In an embodiment the liner may be a dielectric material such as silicon nitride, silicon oxide, a dielectric polymer, combinations of these, or the like, formed by a process such as chemical vapor deposition, oxidation, physical vapor deposition, atomic layer deposition, or the like.
  • The barrier layer may comprise a conductive material such as titanium nitride, although other materials, such as tantalum nitride, titanium, another dielectric, or the like may alternatively be utilized. The barrier layer may be formed using a CVD process, such as PECVD. However, other alternative processes, such as sputtering or metal organic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), may alternatively be used. The barrier layer may be formed so as to contour to the underlying shape of the opening for the TSV conductors 121.
  • The conductive material may comprise copper, although other suitable materials such as aluminum, tungsten, alloys, doped polysilicon, combinations thereof, and the like, may alternatively be utilized. The conductive material may be formed by depositing a seed layer and then electroplating copper onto the seed layer, filling and overfilling the openings for the TSV conductors 121. Once the openings for the TSV conductors 121 have been filled, excess barrier layer and excess conductive material outside of the openings for the TSV conductors 121 may be removed through a grinding process such as chemical mechanical polishing (CMP), although any suitable removal process may be used.
  • Once the TSV conductors 121 have been formed, a first redistribution layer 119 may be formed on a first side of the first substrate 105 in order to provide interconnectivity between the TSV conductors 121, the third external contacts 123, and the first semiconductor die 101 and the second semiconductor die 103. The first redistribution layer 119 may be formed using common methods for forming interconnect lines in integrated circuits. In an embodiment the first redistribution layer 119 comprises at least one conductive layer formed of a metal such as aluminum, copper, tungsten, titanium, and combinations thereof. The at least one conductive layer may be formed by forming a seed layer, covering the seed layer with a patterned photoresist (not illustrated), and then plating the metal on the seed layer within the openings of the photoresist. Once completed, the photoresist and portions of the seed layer underlying the photoresist are removed, leaving the at least one conductive layer, which may have a thickness of between about 2 μm and about 30 μm, with a width of about 5 μm.
  • As one of skill in the art will recognize, the first redistribution layer 119 could be a single layer of conductive material or else could alternatively be multiple layers of conductive material, depending upon the properties desired. For example, the first redistribution layer 119 as formed above may be plated with another conductive material such as gold or chromium to provide good adhesion for a subsequently formed connector (described below). This plating could be done through a process such as CVD.
  • After the first redistribution layer 119 has been formed, the third passivation layer 120 may be formed over the first redistribution layer 119, and vias may be formed through the dielectric material to provide electrical access to the first redistribution layer 119. In an embodiment the third passivation layer 120 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like. The third passivation layer 120 may be formed through a process such as chemical vapor deposition (CVD), although any suitable process may be utilized, and may have a thickness between about 0.5 μm and about 5 μm, such as about 9.25 KÅ.
  • Once the first redistribution layer 119 and the third passivation layer 120 have been formed, the third external contacts 123 may be formed in electrical connection with the first redistribution layer 119 on the first side of the first substrate 105. In an embodiment the third external contacts 123 may be similar to the first external contacts 111 and the second external contacts 117, such as by being microbumps formed using a reflow process. However, any suitable type of external contacts, and any other suitable process of formation, may alternatively be used, and all such types and processes are fully intended to be included within the scope of the embodiments.
  • Once ready, the first semiconductor die 101 and the second semiconductor die 103 may be bonded to the first substrate 105 using, e.g., a bonding process. In an embodiment in which the first external contacts 111, the second external contacts 117 and the third external contacts 123 are solder microbumps, the bonding process may be performed by first aligning the first external contacts 111 and the second external contacts 117 with their respective counterparts among the third external contacts 123, and then the first external contacts 111 and the second external contacts 117 are placed in physical contact with the third external contacts 123. Once in contact, a reflow process may then be performed in order to reflow the first external contacts 111, the second external contacts 117 and the third external contacts 123, thereby bonding the first external contacts 111 and the second external contacts 117 with the third external contacts 123.
  • Once bonded, a first underfill material 125 may be injected or otherwise formed in the space between the first semiconductor die 101, the second semiconductor die 103, and the first substrate 105. The first underfill material 125 may, for example, comprise a liquid epoxy that is dispensed between the first semiconductor die 101, the second semiconductor die 103, and the first substrate 105, and then cured to harden. This first underfill material 125 may be used to prevent cracks from being formed in the first external contacts 111, the second external contacts 117 and the third external contacts 123, wherein cracks are typically caused by thermal stresses.
  • Alternatively, either a deformable gel or silicon rubber could be formed between the first semiconductor die 101, the second semiconductor die 103, and the first substrate 105 in order to help prevent cracks from occurring within the first external contacts 111, the second external contacts 117 and the third external contacts 123. This gel or silicon rubber may be formed by injecting or otherwise placing the gel or rubber between the first semiconductor die 101, the second semiconductor die 103, and the first substrate 105. The deformable gel or silicon rubber may also provide stress relief during subsequent processing.
  • FIG. 2 illustrates a formation of a protective cap 201 over the first semiconductor die 101, over the second semiconductor die 103, and between the first semiconductor die 101 and the second semiconductor die 103, in contact with a top surface of the interposer 600 (described below with respect to FIG. 6). In an embodiment the protective cap 201 may be conductive in order to provide an equal potential across the first semiconductor die 101, the second semiconductor die 103, and the interposer 600 as well as to increase the adhesion between the first semiconductor die 101, the second semiconductor die 103, and the interposer 600. By providing an equal potential, any charge build-up that may occur during the manufacturing process (potentially destroying the first semiconductor die 101, the second semiconductor die 103, or both) may be equalized across the first semiconductor die 101, the second semiconductor die 103, and the interposer 600. This helps to reduce or eliminate damage or other deleterious effects that result from an unequal charge distribution.
  • In an embodiment the protective cap 201 can be a metal material such as titanium, aluminum, aluminum copper (AlCu), or the like. The protective cap 201 may be formed using a process such as physical vapor deposition, plasma enhanced physical vapor deposition, chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, combinations of these, or the like. The protective cap 201 is also formed to thickness suitable to equalize charge. As such, any suitable thickness that allows for conduction may be utilized, although in some embodiments that thickness may be between about 500 Å and about 2000 Å. However, any suitable conductive material, such as polysilicon, and any other suitable process of formation may also be utilized.
  • Additionally, while the protective cap 201 is discussed above and illustrated in FIG. 2 as a single layer, this is only intended to be illustrative of embodiments and is not intended to be limiting. For example, in other embodiments the protective cap 201 may be a composite layer (represented in FIG. 2 by the dashed line labeled 203) that comprises two or more individual layers within the protective cap 201. In a particular embodiment the protective cap 201 may comprise a first layer of, e.g., titanium and a second layer of, e.g., aluminum. Any suitable combination of layers to form a composite material for the protective cap 201 may alternatively be utilized.
  • FIG. 3 illustrates a placement of an encapsulant 301 over the first semiconductor die 101, over the second semiconductor die 103, and between the first semiconductor die 101 and the second semiconductor die 103. In an embodiment the encapsulant 301 may be molding compound, polyimide, PPS, PEEK, PES, a heat resistant crystal resin, combinations of these, or the like. In an embodiment the bonded first semiconductor die 101, second semiconductor die 103, and first substrate 105 may be placed in a molding chamber (not illustrated), and the encapsulant 301 may be injected or otherwise placed into the molding chamber. The molding chamber shapes the encapsulant 301 into the desired shape in order to encapsulate the first semiconductor die 101, second semiconductor die 103, and first substrate 105 in order to provide support and protection to the first semiconductor die 101, the second semiconductor die 103, and the first substrate 105.
  • Once in place, the encapsulant 301 may be cured in order to harden the encapsulant 301 for optimum protection. While the exact curing process is dependent at least in part on the particular material chosen for the encapsulant 301, in an embodiment in which molding compound is chosen as the encapsulant 301, the curing could occur through a process such as heating the encapsulant 301 to between about 100° C. and about 130° C., such as about 125° C. for about 60 sec to about 3000 sec, such as about 600 sec. Additionally, initiators and/or catalysts may be included within the encapsulant 301 to better control the curing process.
  • By placing the encapsulant 301 over the protective cap 201, the encapsulant 301 will not be in place during the formation of the protective cap 201. In particular, in an embodiment in which the protective cap 201 is formed using, e.g., PVD, the encapsulant 301 is not present for the process conditions during the PVD process. Because such PVD process conditions can cause outgassing of the material of the encapsulant 301 (e.g., molding compound), such outgassing and its subsequent effects on process equipment in high volume manufacturing processes may be avoided.
  • FIG. 4 illustrates a removal of the encapsulant from surfaces of the first semiconductor die 101 and the second semiconductor die 103. In an embodiment the encapsulant may be removed or thinned, e.g., using a CMP process whereby chemical etchants and abrasives are utilized to react and grind away the encapsulant 301 until the first semiconductor die 101 and the second semiconductor die 103 have been exposed through the encapsulant 301, thereby leaving portions of the encapsulant 301 between and around the first semiconductor die 101 and the second semiconductor die 103. As such, the first semiconductor die 101 and the second semiconductor die 103 may have a planar surface that is also planar with the encapsulant 301.
  • Additionally, in this embodiment the CMP process, in addition to thinning and removing the encapsulant 301, will also thin and remove portions of the protective cap 201. In particular, the CMP process will remove portions of the protective cap 201 that are over the first semiconductor die 101 and the second semiconductor die 103, thereby exposing the surface of the first semiconductor die 101 and the second semiconductor die 103. As such, the first semiconductor die 101, the second semiconductor die 103, the encapsulant 301 and the protective cap 201 will all be planar with each other, and the protective cap 201 does not extend over the first semiconductor die 101 or the second semiconductor die 103.
  • FIG. 5 illustrates an attachment of a carrier 501 to the exposed first semiconductor die 101, the second semiconductor die 103, the protective cap 201, and the encapsulant 301 using, e.g., an adhesive 503. In an embodiment the carrier 501 may comprise, for example, glass, silicon oxide, aluminum oxide, and the like. The carrier 501 may have a thickness that is greater than about 12 mils.
  • The adhesive 503 may be used to adhere the carrier 501 to the first semiconductor die 101 and the second semiconductor die 103. The adhesive 503 may be any suitable adhesive, such as an ultraviolet (UV) glue, which loses its adhesive property when exposed to UV lights. However, other types of adhesives, such as pressure sensitive adhesives, radiation curable adhesives, epoxies, combinations of these, or the like, may also be used. Any suitable adhesive may be utilized to adhere the carrier 501 to the first semiconductor die 101, the second semiconductor die 103, the encapsulant 301, and the protective cap 201, and all such adhesives are fully intended to be included within the scope of the embodiments.
  • FIG. 6 illustrates that, once the carrier 501 has been attached, the first substrate 105 may be further processed to form the interposer 600. In an embodiment the first substrate 105 is thinned in order to expose the TSV conductors 121 (see, e.g., FIG. 1) and form TSVs 601 that extend through the first substrate 105. In an embodiment, the thinning of the second side of the first substrate 105 may either leave the TSVs 601 lined by the liner or else also remove a portion of the liners in order to expose the conductive material. The thinning of the second side of the first substrate 105 may be performed by a combination of grinding, chemical mechanical polishing (CMP) and etching.
  • For example, a physical grinding process may be performed to initially remove a first amount of the first substrate 105. After the initial first amount has been removed, the physical grinding process may be followed by a CMP process in order to utilize a combination of chemical reactants and abrasives in order to remove an additional amount of the first substrate 105 and to expose the conductive material within the TSV conductors 121. Once a bulk of the second side of the first substrate 105 has been removed, an etching process, such as a dry etching process, may then be employed to recess the second side of the first substrate 105, if desired, and allow the TSVs 601 to protrude from the second side of the first substrate 105. In an embodiment the TSVs 601 may protrude from the second side of the first substrate 105 a distance of between about 0.5 μm and about 10 μm, such as about 5 μm.
  • Once the TSVs 601 protrude from the first substrate 105, a fourth passivation layer 604 may be formed in order to protect the TSVs 601. In an embodiment the fourth passivation layer 604 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like. The fourth passivation layer 604 may be formed through a low temperature process (in order to help avoid any outgassing of the encapsulant 301) such as low temperature chemical vapor deposition (LTCVD), and may have a thickness between about 0.5 μm and about 5 μm, such as about 9.25 KÅ. However, while a low temperature deposition process is described herein in a particular embodiment, any suitable deposition process, such as CVD, PVD, ALD, combinations of these, or the like, may alternatively be utilized.
  • After the fourth passivation layer 604 has been formed, the fourth passivation layer 604 may also be thinned in order to again expose the TSVs 601. In an embodiment a chemical mechanical polishing process may be used to thin the fourth passivation layer 604 until the TSVs 601 have been exposed. Optionally, after the TSVs 601 have been exposed, the fourth passivation layer 604 may be recessed using, e.g., a dry etch, so that the TSVs 601 protrude from the fourth passivation layer 604.
  • FIG. 6 also illustrates a formation of a second redistribution layer 603, a fifth passivation layer 605, and fourth external contacts 607. The second redistribution layer 603, similar to the first redistribution layer 119 (discussed above with respect to FIG. 1) may be formed using common methods for forming interconnect lines in integrated circuits. In an embodiment the second redistribution layer 603 comprises at least one conductive redistribution layer formed of a metal such as aluminum, copper, tungsten, titanium, and combinations thereof. The at least one conductive redistribution layer may be formed by forming a seed layer, covering the seed layer with a patterned photoresist (not illustrated), and then plating the metal on the seed layer within the openings of the photoresist. Once completed, the photoresist and portions of the seed layer underlying the photoresist are removed, leaving the at least one conductive redistribution layer, which may have a thickness of between about 2 μm and about 30 μm, with a width of about 5 μm.
  • Additionally, as one of skill in the art will recognize, the second redistribution layer 603 could be a single layer of conductive material or else could alternatively be multiple layers of conductive material, depending upon the properties desired. For example, the second redistribution layer 603 as formed above may be plated with another conductive material such as gold or chromium to provide good adhesion for a subsequently formed connector (described below). This plating could be done through a process such as CVD.
  • Once the second redistribution layer 603 has been formed, the fifth passivation layer 605 may be formed over the second redistribution layer 603. The fifth passivation layer 605 may be formed over the second redistribution layer 603, and vias may be formed through the fifth passivation layer 605 to provide electrical access to the second redistribution layer 603. In an embodiment the fifth passivation layer 605 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, combinations of these, or the like. The fifth passivation layer 605 may be formed through a process such as low temperature chemical vapor deposition (CVD), although any other suitable process may be utilized, and may have a thickness between about 0.5 μm and about 5 μm, such as about 9.25 KÅ.
  • Once the second redistribution layer 603 and fifth passivation layer 605 have been formed over the second side of the first substrate 105, the fourth external contacts 607 may be formed to provide conductive regions for contact between the second redistribution layer 603 and, e.g., fifth external contacts 703 located on a second substrate 701 (not illustrated in FIG. 6 but illustrated and described further below with respect to FIG. 7) to help form the interposer 600. In an embodiment the fourth external contacts 607 may be contact bumps such as controlled collapse chip connection (C4) bumps and may comprise a material such as tin, or other suitable materials, such as silver or copper. In an embodiment in which the fourth external contacts 607 are tin solder bumps, the fourth external contacts 607 may be formed by initially forming a layer of tin through any suitable method such as evaporation, electroplating, printing, solder transfer, ball placement, etc. Once a layer of tin has been formed on the structure, a reflow is performed in order to shape the material into the desired bump shape with a diameter, e.g., of about 80 μm.
  • However, as one of ordinary skill in the art will recognize, while the fourth external contacts 607 have been described above as C4 bumps, these are merely intended to be illustrative and are not intended to limit the embodiments. Rather, any suitable type of external contacts, such as microbumps, copper pillars, a copper layer, a nickel layer, a lead free (LF) layer, an electroless nickel electroless palladium immersion gold (ENEPIG) layer, a Cu/LF layer, a Sn/Ag layer, a Sn/Pb, combinations of these, or the like, may alternatively be utilized. Any suitable external connector, and any suitable process for forming the external connectors, may be utilized for the fourth external contacts 607, and all such external connectors are fully intended to be included within the scope of the embodiments.
  • FIG. 7 illustrates a second substrate 701 with fifth external contacts 703 (illustrated in FIG. 7 as already bonded to the fourth external contacts 607) on a first side of the second substrate 701 and sixth external contacts 705 on a second side of the second substrate 701. In an embodiment the second substrate 701 may be, e.g., a package substrate that works to provide electrical connectivity to, e.g., a printed circuit board (not shown) or other external devices. For example, the second substrate 701 may comprise multiple conductive layers (not individually illustrated), some of which are inter-layers within the second substrate 701. These layers may be etched into traces of various widths and lengths and connected through inter-layer vias. Together, the lines and vias may form an electrical network to route DC power, ground, and signals from one side of the second substrate 701 to the other. Those of skill in the art will recognize the second substrate 701 may be fabricated from an organic (laminate) material such as bismaleimide-triazine (BT), a polymer-based material such as liquid-crystal polymer (LCP), a ceramic material such as low-temperature co-fired ceramic (LTCC), a silicon or glass interposer, or the like. Those of skill in the art will also recognize the conductive layers and vias may be formed from any suitable conductive material, such as copper, aluminum, silver, gold, other metals, alloys, combination thereof, and/or the like, and formed by any suitable technique, such as electro-chemical plating (ECP), electroless plating, other deposition methods such as sputtering, printing, and chemical vapor deposition (CVD) methods, or the like.
  • In some embodiments, the second substrate 701 may include electrical elements, such as resistors, capacitors, signal distribution circuitry, combinations of these, or the like. These electrical elements may be active, passive, or a combination thereof. In other embodiments, the second substrate 701 is free from both active and passive electrical elements therein. All such combinations are fully intended to be included within the scope of the embodiments.
  • The second substrate 701 may comprise the fifth external contacts 703 on a first side of the second substrate 701. In an embodiment the fifth external contacts 703 may be similar to the fourth external contacts 607 on the first substrate 105 (discussed above with respect to FIG. 6), such as by being C4 bumps formed using a deposition and reflow process. However, the fifth external contacts 703 may alternatively be formed using different materials and methods than the fourth external contacts 607, and all suitable methods and materials may be used for the fourth external contacts 607.
  • On a second side of the second substrate 701, the sixth external contacts 705 may be formed to provide additional connectivity to other devices (not individually illustrated in FIG. 7). In an embodiment the sixth external contacts 705 may comprise a ball grid array (BGA) with individual balls. In an embodiment the individual balls may comprise a material such as tin, or other suitable materials, such as silver or copper. In an embodiment the sixth external contacts 705 may be formed by initially sputter depositing a seed layer of conductive material (e.g., an underbump metallization) and then patterning a photolithographic material (not illustrated) over the seed layer of conductive material. With the photolithographic material in place and exposing portions of the seed layer, an electro-chemical plating (ECP) process may be utilized to plate the exposed portions of the seed layer within the openings of the photolithographic material. Once the plating has finished, the photolithographic material may be removed, and the portions of the seed layer that were not plated (because they were covered by the photolithographic material), are removed using, e.g. a dry etch. Once in place, a reflow is performed in order to shape the material into the desired bump shape with a diameter, e.g., of about 250 μm.
  • Once the fifth external contacts 703 have been formed, the second substrate 701 may be bonded to the first substrate 105 (and, as such, to the first semiconductor die 101 and the second semiconductor die 103). In an embodiment in which the first substrate 105 is a semiconductor wafer, such as in the CoW approach described above with respect to FIG. 1, the bonding process may be initiated by first debonding the first semiconductor die 101, the second semiconductor die 103, the protective cap 201, and the encapsulant 301 from the carrier 501. In an embodiment in which an ultra-violet glue is utilized as the adhesive 503, the adhesive 503 is irradiated with ultra-violet radiation until it loses some or all of its adhesiveness. Accordingly, without the adhesive 503, the first semiconductor die 101, the second semiconductor die 103, the protective cap 201, and the encapsulant 301 can easily be separated from the carrier 501.
  • However, as one of ordinary skill in the art will recognize, while the ultra-violet irradiation technique discussed above may be utilized when the adhesive 503 is an ultra-violet glue, other types of adhesive 503 may utilize other methods to debond the first semiconductor die 101, the second semiconductor die 103, the protective cap 201, and the encapsulant 301 from the carrier 501. For example, a thermal debonding process or a laser debonding process may be utilized, depending upon the precise adhesive 503 chosen. Any and all suitable debonding processes may be used to separate the first semiconductor die 101, the second semiconductor die 103, the protective cap 201, and the encapsulant 301 from the carrier 501, and all of these methods are fully intended to be included within the scope of the embodiments.
  • Once the first semiconductor die 101, the second semiconductor die 103, the protective cap 201, and the encapsulant 301 have been debonded from the carrier 501, the bonding process may be continued by next singulating a portion of the first substrate 105 bonded to the first semiconductor die 101 and the second semiconductor die 103 from the remainder of the semiconductor wafer. In an embodiment the singulation may be performed by using a saw blade (not shown) to slice the first substrate 105 within, e.g., scribe lines (e.g., regions devoid of functional circuitry) that are located between regions of the first substrate 105 that comprise functional electrical connections and/or functional circuitry. Such a slicing separates the portion of the first substrate 105 bonded to the first semiconductor die 101 and the second semiconductor die 103 from the remainder of the semiconductor wafer. Additionally, the saw blade also cuts through the encapsulant 301 located over the first substrate 105.
  • However, as one of ordinary skill in the art will recognize, utilizing a saw blade to singulate the first substrate 105 from the semiconductor wafer is merely one illustrative embodiment and is not intended to be limiting. Alternative methods for singulating the first substrate 105, such as utilizing one or more etches to singulate the first substrate 105 from the semiconductor wafer, may alternatively be utilized. These methods and any other suitable methods may alternatively be utilized to singulate the first substrate 105.
  • Once the first substrate 105 has been singulated, the bonding process may be continued by aligning the fourth external contacts 607 and the fifth external contacts 703 to each other. In an embodiment the alignment may be performed using, e.g., a pick-and-place tool to align the fourth external contacts 607 and the fifth external contacts 703 to each other. In such an embodiment a robotic tool uses, e.g., a vacuum holder in order to grab and manipulate the bonded first semiconductor die 101, second semiconductor die 103, and first substrate 105, and to then align the fourth external contacts 607 and the fifth external contacts 703.
  • However, while a pick-and-place tool has been described above, this description is intended to be illustrative and is not intended to be limiting upon the embodiments. Rather, any suitable method of aligning the fourth external contacts 607 and the fifth external contacts 703 may alternatively be used. All such methods are fully intended to be included within the scope of the embodiments.
  • Once aligned, the fourth external contacts 607 and the fifth external contacts 703 are brought into physical contact with each other. Once in physical contact, a reflow process may be performed in order to reflow the fourth external contacts 607 and the fifth external contacts 703 such that they bond together, thereby bonding the first substrate 105 to the second substrate 701.
  • Once bonded, a second underfill material 707 may be injected or otherwise formed in the space between the first substrate 105 and the second substrate 701. The second underfill material 707 may, for example, comprise a liquid epoxy that is dispensed between the first substrate 105 and the second substrate 701 and then cured to harden. This second underfill material 707 may be used to prevent cracks from being formed in the fourth external contacts 607 and the fifth external contacts 703, wherein cracks are typically caused by thermal stresses.
  • Alternatively, either a deformable gel or silicon rubber could be formed between the first substrate 105 and the second substrate 701 in order to help prevent cracks from occurring within the fourth external contacts 607 and the fifth external contacts 703. This gel or silicon rubber may be formed by injecting or otherwise placing the gel or rubber between the first substrate 105 and the second substrate 701. The deformable gel or silicon rubber may also provide stress relief during subsequent processing.
  • By singulating the chip on wafer structure and bonding the chip on wafer structure to the second substrate 701, a Chip on Wafer on Substrate (CoWoS) structure may be formed. However, while this embodiment has been used in the detailed description, this embodiment is intended to be illustrative and is not intended to limit the embodiments to a CoWoS structure. Rather, any suitable structure may be utilized, and all such structures are fully intended to be included within the scope of the embodiments.
  • By providing the protective cap 201 in contact with the first semiconductor die 101, the second semiconductor die 103, and the interposer 600, the protective cap 201 can equalize charge between the first semiconductor die 101, the second semiconductor die 103, and the interposer 600. Such an equalization allows any charge buildup that may occur during later manufacturing processes to be equalized, thereby reducing or eliminating any damage that may occur through an unequal distribution of charge. Such a reduction helps to prevent damage to the first semiconductor die 101, the second semiconductor die 103, and the interposer 600, increasing overall yield.
  • FIG. 8 illustrates another embodiment in which the protective cap 201 is not removed from the top surfaces of the first semiconductor die 101 and the second semiconductor die 103 during the removal of the encapsulant 301 (described above with respect to FIG. 4). In this embodiment the encapsulant 301 is still thinned and removed using a CMP process, but the process is halted or stopped after the encapsulant 301 has been removed from portions of the protective cap 201 but before the protective cap 201 has been removed from the first semiconductor die 101 and the second semiconductor die 103. As such, the protective cap 201 has a top surface over the first semiconductor die 101 and a top surface over the second semiconductor die 103, both of which are planar with the encapsulant 301.
  • FIG. 9 illustrates the placement of the carrier 501 and the adhesive 503 after the encapsulant 301 has been thinned. In an embodiment the carrier 501 and adhesive 503 may be attached as described above with respect to FIG. 5. However, in this embodiment, because the protective cap 201 has not been removed by the CMP process, the adhesive 503 is placed in contact with the protective cap 201 over the first semiconductor die 101 and over the second semiconductor die 103, and is also placed in contact with the encapsulant 301.
  • FIG. 9 also illustrates that, once the carrier 501 and adhesive 503 have been attached to the protective cap 201 and encapsulant 301, the first substrate 105 may be thinned, and the fourth passivation layer 604, the second redistribution layer 603, the fifth passivation layer 605, and the fourth external contacts 607 may be formed on the second side of the first substrate 105. These processes may be performed as described above with respect to FIG. 6.
  • FIG. 10 illustrates a bonding of the first substrate 105 to the second substrate 701 (with the fifth external contacts 703 and the sixth external contacts 705). In an embodiment the first substrate 105 may be bonded to the second substrate 701 in a similar fashion as described above with respect to FIG. 7. For example, the fifth external contacts 703 are aligned and placed in physical contact with the fourth external contacts 607 and a reflow process is performed. However, any suitable bonding process may alternatively be utilized.
  • By retaining the protective cap 201 over the first semiconductor die 101 and the second semiconductor die 103, and still in contact with the interposer 600, the charge distribution within the protective cap 201 can be evenly distributed, as it now includes the regions above the first semiconductor die 101 and the second semiconductor die 103. Additionally, the protective cap 201 can provide physical additional protection to the first semiconductor die 101 and the second semiconductor die 103. As such, damage from an uneven charge distribution, as well as physical damage, may be reduced or eliminated, allowing for a larger overall yield.
  • FIG. 11 illustrates a flow chart for forming the structures described herein. In an embodiment a first step 1101 comprises attaching the first semiconductor die 101 and the second semiconductor die 103 to the first substrate 105. In a second step 1103 the protective cap 201 is applied to the first semiconductor die 101 and the second semiconductor die 103 and the first substrate 105. In a third step 1105 the encapsulant 301 is applied over the protective cap 201, the first semiconductor die 101 and the second semiconductor die 103. In a fourth step 1107 portions of the encapsulant 301 and the protective cap 201 are removed from the top surfaces of the first semiconductor die 101 and the second semiconductor die 103. Alternatively, the protective cap 201 may be left over the first semiconductor die 101 and the second semiconductor die 103.
  • In a fifth step 1109 a carrier 501 is attached to either the protective cap 201 or the first semiconductor die 101 and the second semiconductor die 103. In a sixth step 1111 the first substrate 105 is thinned to form the TSVs 601. In a seventh step 1113 the fourth external contacts 607 are formed on the thinned side of the first substrate 105. In an eighth step 1115 a portion of the first substrate 105 is singulated from a remainder of the semiconductor wafer. In a ninth step 1117 the singulated portion of the first substrate 105 is bonded to the second substrate 701.
  • By forming a semiconductor device as described, the protective cap 201 can be used to evenly distribute charges that can build up during processing. These charges, if left unchecked, may potentially damage the semiconductor device and potentially render it useless. However, by evenly distributing the charge that builds up, the damage caused by such a charge will be reduced or eliminated, leading to an overall increase in yield.
  • In accordance with an embodiment, a semiconductor device comprising a first semiconductor device bonded to a first side of a first substrate, the first semiconductor device comprising a first sidewall, is provided. A second semiconductor device is bonded to the first side of the first substrate, the second semiconductor device comprising a second sidewall. A conductive protective cap is in physical contact with the first sidewall, the second sidewall, and the first substrate, and an encapsulant is between the first semiconductor device and the second semiconductor device, the encapsulant over at least a portion of the conductive protective cap.
  • In accordance with another embodiment, a semiconductor device comprising a first semiconductor die laterally separated from a second semiconductor die is provided. A conductive layer extends from a sidewall of the first semiconductor die to a sidewall of the second semiconductor die, wherein the conductive layer covers the sidewall of the first semiconductor die and the sidewall of the second semiconductor die. An encapsulant is over the conductive layer and between the first semiconductor die and the second semiconductor die. A first substrate is bonded to the first semiconductor die and the second semiconductor die; and a second substrate bonded to the first substrate opposite the first semiconductor die.
  • In accordance with another embodiment, a method of manufacturing a semiconductor device comprising bonding a first semiconductor die and a second semiconductor die to a first substrate is provided. A conductive capping layer is formed over the first semiconductor die, the second semiconductor die, and the first substrate. An encapsulant is applied over the conductive capping layer, and a portion of the encapsulant is removed, wherein the removing the portion of the encapsulant exposes the conductive capping layer.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (21)

1. A semiconductor device comprising:
a first semiconductor device bonded to a first side of a first substrate, the first semiconductor device comprising a first sidewall;
a second semiconductor device bonded to the first side of the first substrate, the second semiconductor device comprising a second sidewall;
a conductive protective cap in physical contact with the first sidewall, the second sidewall, and the first substrate; and
an encapsulant between the first semiconductor device and the second semiconductor device, the encapsulant over at least a portion of the conductive protective cap.
2. The semiconductor device of claim 1, wherein the first semiconductor device has a first surface facing away from the first substrate, wherein the conductive protective cap has a top surface that is planar with the first surface.
3. The semiconductor device of claim 1, wherein the first semiconductor device has a first surface facing away from the first substrate, wherein the conductive protective cap covers the first surface.
4. The semiconductor device of claim 1, further comprising a second substrate bonded to the first substrate on an opposite side from the first semiconductor device.
5. The semiconductor device of claim 1, wherein the protective cap is titanium.
6. The semiconductor device of claim 1, wherein the protective cap is aluminum.
7. The semiconductor device of claim 1, wherein the protective cap extends from the first sidewall to the second sidewall.
8. A semiconductor device comprising:
a first semiconductor die laterally separated from a second semiconductor die;
a conductive layer extending from a sidewall of the first semiconductor die to a sidewall of the second semiconductor die, wherein the conductive layer covers the sidewall of the first semiconductor die and the sidewall of the second semiconductor die;
an encapsulant over the conductive layer and between the first semiconductor die and the second semiconductor die;
a first substrate bonded to the first semiconductor die and the second semiconductor die; and
a second substrate bonded to the first substrate opposite the first semiconductor die.
9. The semiconductor device of claim 8, wherein the conductive layer comprises titanium.
10. The semiconductor device of claim 8, wherein the conductive layer comprises aluminum.
11. The semiconductor device of claim 8, wherein the conductive layer comprises a composite layer.
12. The semiconductor device of claim 8, wherein the conductive layer does not extend over the first semiconductor die.
13. The semiconductor device of claim 8, wherein the conductive layer has a first top surface, the encapsulant has a second top surface, and the first semiconductor die has a third top surface, wherein the first top surface, the second top surface, and the third top surface are planar with each other.
14. The semiconductor device of claim 8, wherein the conductive layer has a first top surface, the encapsulant has a second top surface, and the first semiconductor die has a third top surface, wherein the first top surface and the second top surface are planar with other but are not planar with the third top surface.
15.-20. (canceled)
21. A semiconductor device comprising:
a first semiconductor die on a first substrate, the first semiconductor die comprising a first sidewall;
a second semiconductor die on the first substrate, the second semiconductor die comprising a second sidewall facing the first sidewall;
a conformal metal cap in physical contact with the first sidewall, the second sidewall, and the first substrate; and
an encapsulant in contact with the conformal metal cap and between the first semiconductor die and the second semiconductor die.
22. The semiconductor device of claim 21, further comprising a second substrate bonded to the first substrate on an opposite side from the first semiconductor die.
23. The semiconductor device of claim 21, wherein the conformal metal cap comprises a first layer of a first metal and a second layer of a second metal, wherein the first metal is different from the second metal.
24. The semiconductor device of claim 23, wherein the first metal is aluminum and the second metal is titanium.
25. The semiconductor device of claim 21, wherein the encapsulant has a first surface that is planar with conformal metal cap.
26. The semiconductor device of claim 25, wherein the conformal metal cap has a second surface that is planar with the first semiconductor die.
US14/243,517 2014-01-31 2014-04-02 Semiconductor Device and Method Abandoned US20150287697A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US14/243,517 US20150287697A1 (en) 2014-04-02 2014-04-02 Semiconductor Device and Method
US14/257,833 US9406650B2 (en) 2014-01-31 2014-04-21 Methods of packaging semiconductor devices and packaged semiconductor devices
CN201410256010.1A CN104979334B (en) 2014-04-02 2014-06-10 Semiconductor devices and method
US15/212,782 US9806062B2 (en) 2014-01-31 2016-07-18 Methods of packaging semiconductor devices and packaged semiconductor devices
US15/418,065 US10510561B2 (en) 2014-04-02 2017-01-27 Semiconductor device package including conformal metal cap contacting each semiconductor die
US16/705,334 US11488842B2 (en) 2014-04-02 2019-12-06 Method of making semiconductor device package including conformal metal cap contacting each semiconductor die
US17/099,180 US20210090906A1 (en) 2014-04-02 2020-11-16 Semiconductor Device and Method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/243,517 US20150287697A1 (en) 2014-04-02 2014-04-02 Semiconductor Device and Method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/418,065 Division US10510561B2 (en) 2014-04-02 2017-01-27 Semiconductor device package including conformal metal cap contacting each semiconductor die

Publications (1)

Publication Number Publication Date
US20150287697A1 true US20150287697A1 (en) 2015-10-08

Family

ID=54210421

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/243,517 Abandoned US20150287697A1 (en) 2014-01-31 2014-04-02 Semiconductor Device and Method
US15/418,065 Active 2034-06-19 US10510561B2 (en) 2014-04-02 2017-01-27 Semiconductor device package including conformal metal cap contacting each semiconductor die
US16/705,334 Active US11488842B2 (en) 2014-04-02 2019-12-06 Method of making semiconductor device package including conformal metal cap contacting each semiconductor die
US17/099,180 Abandoned US20210090906A1 (en) 2014-04-02 2020-11-16 Semiconductor Device and Method

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/418,065 Active 2034-06-19 US10510561B2 (en) 2014-04-02 2017-01-27 Semiconductor device package including conformal metal cap contacting each semiconductor die
US16/705,334 Active US11488842B2 (en) 2014-04-02 2019-12-06 Method of making semiconductor device package including conformal metal cap contacting each semiconductor die
US17/099,180 Abandoned US20210090906A1 (en) 2014-04-02 2020-11-16 Semiconductor Device and Method

Country Status (2)

Country Link
US (4) US20150287697A1 (en)
CN (1) CN104979334B (en)

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160254236A1 (en) * 2015-02-27 2016-09-01 Qualcomm Incorporated Compartment shielding in flip-chip (fc) module
US9793230B1 (en) * 2016-07-08 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9812405B2 (en) 2014-10-17 2017-11-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US9818697B2 (en) 2013-11-11 2017-11-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package manufacturing method
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US20180068959A1 (en) * 2014-11-18 2018-03-08 Siliconware Precision Industries Co., Ltd. Fabrication method of semiconductor package
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US20190067226A1 (en) * 2017-08-29 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component package and method of fabricating the same
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
KR20190079924A (en) * 2017-12-28 2019-07-08 삼성전자주식회사 Semiconductor package
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10510605B2 (en) 2016-07-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US20200075537A1 (en) * 2018-09-04 2020-03-05 Ningbo Semiconductor International Corporation Wafer-level packaging method and package structure
CN110875199A (en) * 2018-09-04 2020-03-10 中芯集成电路(宁波)有限公司 Wafer level packaging method and packaging structure
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20210082837A1 (en) * 2019-09-16 2021-03-18 Siliconware Precision Industries Co., Ltd. Electronic package and fabrication method thereof
US20210202463A1 (en) * 2018-06-29 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Buffer Design for Package Integration
US20210210464A1 (en) * 2017-11-13 2021-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
EP4016615A1 (en) * 2020-12-15 2022-06-22 INTEL Corporation Inter-component material in microelectronic assemblies having direct bonding
US11410968B2 (en) * 2019-10-18 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US20220270982A1 (en) * 2019-07-26 2022-08-25 Tongfu Microelectronics Co., Ltd. Packaging structure and fabrication method thereof
US20230049326A1 (en) * 2021-08-13 2023-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Device die and method for fabricating the same
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
US11728447B2 (en) * 2016-01-15 2023-08-15 Sony Group Corporation Semiconductor device and imaging apparatus

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018125767A2 (en) 2016-12-29 2018-07-05 Intel Corporation Hyperchip
KR102039709B1 (en) * 2017-11-03 2019-11-01 삼성전자주식회사 Semiconductor package comprising organic interposer
US20190164948A1 (en) * 2017-11-27 2019-05-30 Powertech Technology Inc. Package structure and manufacturing method thereof
US10600770B2 (en) * 2018-05-14 2020-03-24 Micron Technology, Inc. Semiconductor dice assemblies, packages and systems, and methods of operation
US20210296194A1 (en) * 2020-03-18 2021-09-23 Advanced Micro Devices, Inc Molded semiconductor chip package with stair-step molding layer
US11393763B2 (en) * 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
US20220352123A1 (en) * 2021-05-03 2022-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
KR20220164946A (en) 2021-06-07 2022-12-14 삼성전자주식회사 Semiconductor package

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5151776A (en) * 1989-03-28 1992-09-29 General Electric Company Die attachment method for use in high density interconnected assemblies
US20090079041A1 (en) * 2007-09-24 2009-03-26 Stats Chippac, Ltd. Semiconductor Package and Method of Reducing Electromagnetic Interference Between Devices
US20120306062A1 (en) * 2011-05-30 2012-12-06 Yong-Hoon Kim Semiconductor device, semiconductor package, and electronic device
US20130044554A1 (en) * 2011-08-17 2013-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dram repair architecture for wide i/o dram based 2.5d/3d system chips
KR20130077178A (en) * 2011-12-29 2013-07-09 주식회사 네패스 Semiconductor package and method of manufacturing the same

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5258649A (en) 1989-05-20 1993-11-02 Hitachi, Ltd. Semiconductor device and electronic apparatus using semiconductor device
US5622892A (en) * 1994-06-10 1997-04-22 International Business Machines Corporation Method of making a self cooling electrically programmable fuse
JP3310499B2 (en) * 1995-08-01 2002-08-05 富士通株式会社 Semiconductor device
US5801452A (en) 1996-10-25 1998-09-01 Micron Technology, Inc. Multi chip module including semiconductor wafer or dice, interconnect substrate, and alignment member
US6144101A (en) 1996-12-03 2000-11-07 Micron Technology, Inc. Flip chip down-bond: method and apparatus
US20010013655A1 (en) 1999-05-04 2001-08-16 Smith John W. Methods of making microelectronic connections with liquid conductive elements
FR2799883B1 (en) 1999-10-15 2003-05-30 Thomson Csf METHOD OF ENCAPSULATING ELECTRONIC COMPONENTS
JP3414342B2 (en) 1999-11-25 2003-06-09 日本電気株式会社 Mounting structure and mounting method of integrated circuit chip
US6653730B2 (en) 2000-12-14 2003-11-25 Intel Corporation Electronic assembly with high capacity thermal interface
JP3995421B2 (en) * 2001-01-19 2007-10-24 株式会社ルネサステクノロジ Epoxy resin composition for semiconductor encapsulation and semiconductor device using the same
US6590278B1 (en) 2002-01-08 2003-07-08 International Business Machines Corporation Electronic package
TWI256719B (en) * 2002-03-06 2006-06-11 Via Tech Inc Semiconductor device package module and manufacturing method thereof
US6794273B2 (en) * 2002-05-24 2004-09-21 Fujitsu Limited Semiconductor device and manufacturing method thereof
US7161252B2 (en) 2002-07-19 2007-01-09 Matsushita Electric Industrial Co., Ltd. Module component
TWI239080B (en) 2002-12-31 2005-09-01 Advanced Semiconductor Eng Semiconductor chip package and method for the same
US7135357B2 (en) 2003-10-06 2006-11-14 E. I. Du Pont De Nemours And Company Process for making an organic electronic device having a roughened surface heat sink
US20060035413A1 (en) 2004-01-13 2006-02-16 Cookson Electronics, Inc. Thermal protection for electronic components during processing
KR100631403B1 (en) 2004-09-23 2006-10-09 삼성전자주식회사 semiconductor package having heat slug and method for manufacturing the same
WO2006042297A2 (en) * 2004-10-12 2006-04-20 Aradigm Corporation Device and method for generating an aerosol from a liquid formulation and ensuring its sterility
EP1715520B1 (en) 2005-04-21 2010-03-03 St Microelectronics S.A. Device for protecting an electronic circuit
KR100703090B1 (en) * 2005-08-30 2007-04-06 삼성전기주식회사 A Back Side Ground Type Flip Chip Semiconductor Package
DE102005046280B4 (en) * 2005-09-27 2007-11-08 Infineon Technologies Ag Semiconductor device with a semiconductor chip and method for producing the same
CN101882657A (en) 2005-10-29 2010-11-10 三星电子株式会社 Semiconductor device and fabricating method thereof
KR100874910B1 (en) 2006-10-30 2008-12-19 삼성전자주식회사 Stacked semiconductor package having vertical heat dissipation path and manufacturing method thereof
JP5013995B2 (en) 2007-07-03 2012-08-29 綜合警備保障株式会社 Monitoring system
US20090072382A1 (en) * 2007-09-18 2009-03-19 Guzek John S Microelectronic package and method of forming same
US7838975B2 (en) 2008-05-27 2010-11-23 Mediatek Inc. Flip-chip package with fan-out WLCSP
US9064936B2 (en) 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
WO2010113250A1 (en) * 2009-03-31 2010-10-07 トヨタ自動車株式会社 Joint quality examining device and joint quality examining method
US8362607B2 (en) 2009-06-03 2013-01-29 Honeywell International Inc. Integrated circuit package including a thermally and electrically conductive package lid
US7875970B2 (en) 2009-06-10 2011-01-25 Green Arrow Asia Limited Integrated circuit package having a castellated heatspreader
US8237252B2 (en) 2009-07-22 2012-08-07 Stats Chippac, Ltd. Semiconductor device and method of embedding thermally conductive layer in interconnect structure for heat dissipation
DE102009036621B4 (en) 2009-08-07 2023-12-21 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Optoelectronic semiconductor component
JP5271886B2 (en) 2009-12-08 2013-08-21 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US8519537B2 (en) * 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8946886B1 (en) * 2010-05-13 2015-02-03 Amkor Technology, Inc. Shielded electronic component package and method
US8258012B2 (en) * 2010-05-14 2012-09-04 Stats Chippac, Ltd. Semiconductor device and method of forming discontinuous ESD protection layers between semiconductor die
US8866301B2 (en) 2010-05-18 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers with interconnection structures
KR20120053332A (en) 2010-11-17 2012-05-25 삼성전자주식회사 Semiconductor package and method of forming the same
US8877567B2 (en) 2010-11-18 2014-11-04 Stats Chippac, Ltd. Semiconductor device and method of forming uniform height insulating layer over interposer frame as standoff for semiconductor die
KR101715761B1 (en) * 2010-12-31 2017-03-14 삼성전자주식회사 Semiconductor packages and methods for fabricating the same
US9312240B2 (en) 2011-01-30 2016-04-12 UTAC Headquarters Pte. Ltd. Semiconductor packages and methods of packaging semiconductor devices
US8970044B2 (en) 2011-06-23 2015-03-03 Stats Chippac Ltd. Integrated circuit packaging system with vertical interconnects and method of manufacture thereof
KR101829392B1 (en) 2011-08-23 2018-02-20 삼성전자주식회사 Semiconductor package and method of forming the same
US8872312B2 (en) * 2011-09-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. EMI package and method for making same
US8643148B2 (en) 2011-11-30 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer structures and methods for forming the same
US9842798B2 (en) 2012-03-23 2017-12-12 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a PoP device with embedded vertical interconnect units
US10049964B2 (en) 2012-03-23 2018-08-14 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a fan-out PoP device with PWB vertical interconnect units
US20130309136A1 (en) 2012-03-30 2013-11-21 Boston Microfluidics Sample processing methods and systems to collect and dilute a biological sample
US9034695B2 (en) 2012-04-11 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated thermal solutions for packaging integrated circuits
US9129929B2 (en) 2012-04-19 2015-09-08 Sony Corporation Thermal package with heat slug for die stacks
US8981559B2 (en) 2012-06-25 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package devices and methods of packaging semiconductor dies
US9508563B2 (en) * 2012-07-12 2016-11-29 Xilinx, Inc. Methods for flip chip stacking
KR20140065282A (en) 2012-11-21 2014-05-29 삼성전자주식회사 Semiconductor device comprising tsv(through silicon via), and semiconductor package comprising the same
US8729679B1 (en) * 2012-12-04 2014-05-20 Nxp, B.V. Shielding silicon from external RF interference
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US8946884B2 (en) 2013-03-08 2015-02-03 Xilinx, Inc. Substrate-less interposer technology for a stacked silicon interconnect technology (SSIT) product
US8980691B2 (en) 2013-06-28 2015-03-17 Stats Chippac, Ltd. Semiconductor device and method of forming low profile 3D fan-out package
KR101469372B1 (en) 2013-07-02 2014-12-04 현대다이모스(주) coupling for railway vehicle
US9373588B2 (en) * 2013-09-24 2016-06-21 Intel Corporation Stacked microelectronic dice embedded in a microelectronic substrate
US9165877B2 (en) 2013-10-04 2015-10-20 Mediatek Inc. Fan-out semiconductor package with copper pillar bumps
TW201519402A (en) * 2013-11-05 2015-05-16 矽品精密工業股份有限公司 Semiconductor package and manufacturing method thereof and substrate and packaged structure
US10083932B2 (en) * 2014-01-17 2018-09-25 Nvidia Corporation Package on package arrangement and method
US9230880B2 (en) 2014-01-28 2016-01-05 Infineon Technolgies Ag Electronic device and method for fabricating an electronic device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5151776A (en) * 1989-03-28 1992-09-29 General Electric Company Die attachment method for use in high density interconnected assemblies
US20090079041A1 (en) * 2007-09-24 2009-03-26 Stats Chippac, Ltd. Semiconductor Package and Method of Reducing Electromagnetic Interference Between Devices
US20120306062A1 (en) * 2011-05-30 2012-12-06 Yong-Hoon Kim Semiconductor device, semiconductor package, and electronic device
US20130044554A1 (en) * 2011-08-17 2013-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dram repair architecture for wide i/o dram based 2.5d/3d system chips
KR20130077178A (en) * 2011-12-29 2013-07-09 주식회사 네패스 Semiconductor package and method of manufacturing the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Machine language translation of KR 10-2013-0077178 *

Cited By (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9818697B2 (en) 2013-11-11 2017-11-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package manufacturing method
US9812405B2 (en) 2014-10-17 2017-11-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10811367B2 (en) 2014-11-18 2020-10-20 Siliconware Precision Industries Co., Ltd. Fabrication method of semiconductor package
US10340228B2 (en) * 2014-11-18 2019-07-02 Siliconware Precision Industries Co., Ltd. Fabrication method of semiconductor package
US20180068959A1 (en) * 2014-11-18 2018-03-08 Siliconware Precision Industries Co., Ltd. Fabrication method of semiconductor package
US20160254236A1 (en) * 2015-02-27 2016-09-01 Qualcomm Incorporated Compartment shielding in flip-chip (fc) module
US10242957B2 (en) * 2015-02-27 2019-03-26 Qualcomm Incorporated Compartment shielding in flip-chip (FC) module
US11728447B2 (en) * 2016-01-15 2023-08-15 Sony Group Corporation Semiconductor device and imaging apparatus
US11587908B2 (en) 2016-02-26 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10522514B2 (en) 2016-02-26 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US10347607B2 (en) 2016-05-17 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10090284B2 (en) 2016-05-17 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US11069625B2 (en) 2016-07-01 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10032735B2 (en) 2016-07-08 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
US10177105B2 (en) 2016-07-08 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor structure and method of forming
US10861809B2 (en) 2016-07-08 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
US10510698B2 (en) 2016-07-08 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US9793230B1 (en) * 2016-07-08 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10157859B2 (en) 2016-07-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10510605B2 (en) 2016-07-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US11367658B2 (en) 2016-07-29 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US20190067226A1 (en) * 2017-08-29 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component package and method of fabricating the same
US10636757B2 (en) * 2017-08-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component package and method of fabricating the same
US20210210464A1 (en) * 2017-11-13 2021-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
KR102404058B1 (en) * 2017-12-28 2022-05-31 삼성전자주식회사 Semiconductor package
US10978409B2 (en) * 2017-12-28 2021-04-13 Samsung Electronics Co., Ltd. Semiconductor package
US11664330B2 (en) 2017-12-28 2023-05-30 Samsung Electronics Co., Ltd. Semiconductor package
KR20190079924A (en) * 2017-12-28 2019-07-08 삼성전자주식회사 Semiconductor package
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20210202463A1 (en) * 2018-06-29 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Buffer Design for Package Integration
US11855063B2 (en) * 2018-06-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer design for package integration
US20200075537A1 (en) * 2018-09-04 2020-03-05 Ningbo Semiconductor International Corporation Wafer-level packaging method and package structure
US10978421B2 (en) * 2018-09-04 2021-04-13 Ningbo Semiconductor International Corporation Wafer-level packaging method and package structure
CN110875199A (en) * 2018-09-04 2020-03-10 中芯集成电路(宁波)有限公司 Wafer level packaging method and packaging structure
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
US20220270982A1 (en) * 2019-07-26 2022-08-25 Tongfu Microelectronics Co., Ltd. Packaging structure and fabrication method thereof
US20210082837A1 (en) * 2019-09-16 2021-03-18 Siliconware Precision Industries Co., Ltd. Electronic package and fabrication method thereof
US11881459B2 (en) * 2019-09-16 2024-01-23 Siliconware Precision Industries Co., Ltd. Electronic package and fabrication method thereof
US11410968B2 (en) * 2019-10-18 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11848304B2 (en) 2019-10-18 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
EP4016615A1 (en) * 2020-12-15 2022-06-22 INTEL Corporation Inter-component material in microelectronic assemblies having direct bonding
US20230049326A1 (en) * 2021-08-13 2023-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Device die and method for fabricating the same
US11916025B2 (en) * 2021-08-13 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device die and method for fabricating the same

Also Published As

Publication number Publication date
CN104979334B (en) 2018-10-23
CN104979334A (en) 2015-10-14
US20200111682A1 (en) 2020-04-09
US10510561B2 (en) 2019-12-17
US20170140947A1 (en) 2017-05-18
US20210090906A1 (en) 2021-03-25
US11488842B2 (en) 2022-11-01

Similar Documents

Publication Publication Date Title
US11488842B2 (en) Method of making semiconductor device package including conformal metal cap contacting each semiconductor die
US11189603B2 (en) Semiconductor packages and methods of forming same
US10510717B2 (en) Chip on package structure and method
US10125014B2 (en) Integrated circuit package and method of forming same
US9209048B2 (en) Two step molding grinding for packaging applications
US10008485B2 (en) Semiconductor device and method of manufacture
US11075133B2 (en) Underfill structure for semiconductor packages and methods of forming the same
US9443783B2 (en) 3DIC stacking device and method of manufacture
US8802504B1 (en) 3D packages and methods for forming the same
US9679839B2 (en) Chip on package structure and method
US11476201B2 (en) Package-on-package device
US8518796B2 (en) Semiconductor die connection system and method
US11121052B2 (en) Integrated fan-out device, 3D-IC system, and method
US11562983B2 (en) Package having multiple chips integrated therein and manufacturing method thereof
US20230116818A1 (en) Package having multiple chips integrated therein and manufacturing method thereof
US11380611B2 (en) Chip-on-wafer structure with chiplet interposer
US11955433B2 (en) Package-on-package device
US11817426B2 (en) Package and method of fabricating the same
US20220301970A1 (en) Semiconductor package and method of manufacturing semiconductor package
US11715646B2 (en) Semiconductor structure and method for forming the same
US20230395431A1 (en) Semiconductor Packages and Methods of Forming

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TSAI, CHEN-YU;WEI, TSUNG-SHANG;LIN, YU-SHENG;AND OTHERS;REEL/FRAME:032585/0336

Effective date: 20140326

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION