CN102799701B - 电路布局中的运行中的器件表征 - Google Patents

电路布局中的运行中的器件表征 Download PDF

Info

Publication number
CN102799701B
CN102799701B CN201110399371.8A CN201110399371A CN102799701B CN 102799701 B CN102799701 B CN 102799701B CN 201110399371 A CN201110399371 A CN 201110399371A CN 102799701 B CN102799701 B CN 102799701B
Authority
CN
China
Prior art keywords
layout
parameter
circuit
transistor
current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110399371.8A
Other languages
English (en)
Other versions
CN102799701A (zh
Inventor
江昱娴
戴雅丽
黄慕真
陈建文
苏朝琴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102799701A publication Critical patent/CN102799701A/zh
Application granted granted Critical
Publication of CN102799701B publication Critical patent/CN102799701B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/14Digital output to display device ; Cooperation and interconnection of the display device with other functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Human Computer Interaction (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种设计系统包括布局模块和用户界面。该布局模块包括计算单元,其中,计算单元被配置为:在电路的布局阶段期间,提取电路中的集成电路器件的布局参数;以及使用布局参数计算出器件的电路参数。该用户界面,被配置为响应于用户对器件的选择,显示出器件的电路参数。本发明还提供了一种电路布局中的运行中的器件表征。

Description

电路布局中的运行中的器件表征
技术领域
本发明涉及半导体领域,更具体地,本发明涉及一种电路布局中的运行中的器件表征。
背景技术
在典型的集成电路设计工艺中,例如,在原理图编辑器中首先生成的是正在进行设计的集成电路的电路原理图。在电路原理图上进行预布局模拟,从而模拟出该集成电路的性能。由于在进行预布局模拟时集成电路的布局还没有创建,因此,无法将集成电路布局的布局依赖影响(LDE)纳入到预布局模拟的考虑范围内。而是在预布局模拟中假设出了LDE的默认值。
在预布局模拟之后,例如,使用布局编辑器生成了集成电路布局。然后,在布局上进行设计验证,其中,设计验证包括:设计规则检查(DRC)、布局与原理图一致性验证(LVS)、布局参数提取(LPE)以及寄生提取(RCX)。
然后,在布局上进行后布局模拟。在后布局模拟中,将LDE纳入考虑范围,从而使产生的电路性能参数更准确地反映出实际的电路。然后,将电路性能参数与设计规范相比较。如果电路性能参数符合设计规范的要求,则可以结束该设计。否则,设计工艺循环回到生成和编辑原理图的步骤,并且重复这些包括预布局模拟、布局创建、设计验证以及后布局模拟的步骤来修改该设计。该循环被重复直至电路性能参数最终符合设计规范的要求为止。
在常规设计中,在预布局模拟和后布局模拟之间存在差距。由于预布局模拟无法准确地反映出电路的性能,因此直到集成电路的所有布局都已经结束的后布局模拟时才发现需要对电路进行修改,从而导致高昂的费用。
在先进的纳米CMOS设计中,与旧时的电路相比,LDE对器件的特性,比如,数字电路的载流量、输出阻抗以及模拟电路的跨导率产生了更强的影响。因此,相较于以前,预布局模拟和后布局模拟之间的差距十分严重,从而迫使设计人要预备出额外的设计余量。由此明显地牺牲了可达到的速度性能。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种设计系统,包括:布局模块,包括计算单元,其中,所述计算单元被配置为:在所述电路的布局阶段期间,提取电路中的集成电路器件的布局参数;以及使用所述布局参数计算出所述器件的电路参数;以及用户界面,被配置为响应于用户对所述器件的选择,显示出所述器件的所述电路参数。
在该设计系统中,所述集成电路器件是晶体管,其中,所述电路参数包括从基本上由所述晶体管的驱动电流、阈值电压、跨导、以及漏电导构成的组中选择出的参数,并且其中,所述布局参数包括从基本上由晶体管的阱邻近参数、OD间隔、多晶硅间隔、扩散长度、及其组合构成的组中选择出的参数。
在该设计系统中,所述计算单元被配置为执行背景计算,从而在所述电路的所述布局阶段结束之前,提取出所述布局参数并且计算出所述电路参数。
在该设计系统中,进一步包括:原理图编辑器,被配置为用于编辑所述电路的原理图,并且其中,所述原理图编辑器被配置为将制造布局参数反向传递给所述原理图来替换所述集成电路器件的默认假设的布局参数。
在该设计系统中,进一步包括:布局编辑器,其中,所述布局编辑器被配置为用于提取所述集成电路器件的所述布局参数。
在该设计系统中,所述计算单元被配置为在互连所述电路中的器件的金属线被布线之前,提取出所述布局参数并且计算出所述电路参数。
在该设计系统中,所述布局模块进一步包括:分析器,被配置用于响应于所述布局参数的变化,生成并且图示出所述电路参数的下降趋势。
在该设计系统中,所述布局模块和所述用户界面被配置为将所述电路参数显示为下降百分比。
根据本发明的另一方面,提供了一种设计系统,包括:布局模块,被配置为:提取电路的晶体管的布局参数;将所述布局参数反向传递到所述电路的原理图,从而替换默认布局参数,其中,所述默认布局参数是假设值;以及使用包括所述布局参数的所述原理图计算出所述晶体管的电路参数。
在该设计系统中,进一步包括:用户界面,被配置为响应于用户对所述晶体管的选择,显示出所述晶体管的所述电路参数。
在该设计系统中,所述电路参数被显示为从自具有所述默认布局参数的所述原理图模拟出的电路参数中下降得到的下降值。
在该设计系统中,所述电路参数包括从基本上由所述晶体管的驱动电流、阈值电压、跨导、和漏电导构成的组中选择出的参数,并且其中,所述布局参数包括从基本上由晶体管的阱邻近参数、OD间隔、多晶硅间隔、扩散长度、及其组合构成的组中选择出的参数。
在该设计系统中,进一步包括:原理图编辑器,被配置为用于编辑所述电路的所述原理图,其中,所述原理图编辑器和所述布局模块被配置为将所述布局参数反向传递给所述原理图;以及布局编辑器,被配置为生成和编辑所述电路的布局,其中,所述布局模块被配置为从所述布局中提取所述晶体管的所述布局参数。
在该设计系统中,所述布局模块进一步包括:分析器,被配置为响应于所述布局参数之一的变化,生成并且图示出所述电路参数之一的下降趋势。
根据本发明的又一方面,提供了一种方法,包括:根据电路的原理图将所述电路的部分布局为布局;从所述布局中提取出所述电路中的晶体管的布局参数;将所述布局参数反向传递到所述原理图,从而替换所述晶体管的默认布局参数,其中,所述默认布局参数是假设值;使用包括从所述布局中提取出的所述布局参数的原理图计算出所述晶体管的电路参数;以及在用户界面上显示出所述晶体管的所述电路参数。
在该方法中,进一步包括:对金属线进行布线,从而互连所述布局中的器件;以及执行后布局模拟,从而模拟所述电路的整体性能,其中,在所述布线和所述后布局模拟步骤之前,执行所述提取、反向传递、和计算的步骤。
在该方法中,响应于用户对所述晶体管的选择,执行显示出所述晶体管的所述电路参数的步骤。
在该方法中,进一步包括:响应于所述布局参数中的一个的变化,计算和图示出所述电路参数之一的下降趋势。
在该方法中,所述电路参数包括从基本上由所述晶体管的驱动电流、阈值电压、跨导、和漏电导构成的组中选择出的参数,并且其中,所述布局参数包括从基本上由所述晶体管的阱邻近参数、OD间隔、多晶硅间隔、扩散长度、及其组合构成的组中选择出的参数。
在该方法中,将所述晶体管的所述电路参数在所述用户界面上显示为下降百分率,并且其中,所述下降百分比从电路参数下降得到,所述电路参数由具有所述默认布局参数的所述电路的所述原理图计算得出。
附图说明
为了全面理解本公开及其优点,现在结合附图进行以下描述作为参考,其中:
图1示出布局依赖影响(LDE)感知的布局模块的框图;
图2示出了示例性电路,在该电路上进行了LDE感知布局;
图3示出LDE感知电路设计工艺的流程图;
图4示出正在进行布局的电路的部分,所示的部分包括PMOS晶体管和NMOS晶体管;以及
图5示出的曲线图示出了响应于布局参数的变化的示例性电路参数的变化趋势。
具体实施方式
下面,详细讨论本发明各实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的概念。所讨论的具体实施例仅仅示出了制造和使用本发明的具体方式,而不用于限制本发明的范围。
根据实施例提供了实时的设备表征方法以及用于实现相同目的的布局依赖影响(LDE)感知布局模块。然后论述了该实施例的变化和操作。在各个视图和所示实施例中,相似的参考标号用于指示相似的元件。
图1示出了根据实施例的用于进行集成电路布局的布局系统10的框图。布局系统10包括LDE感知布局模块20、原理图编辑器22、布局编辑器24、以及应用程序用户界面26。原理图编辑器22被配置为生成和编辑正在进行设计的集成电路的电路原理图。布局编辑器24被配置用于生成和编辑集成电路的布局,该布局根据原理图生成。应用程序用户界面26被配置为向用户提供视觉显示(visual presentation)(例如,通过显示屏示出)。在视觉显示中,可以显示出电路原理图、布局、从布局中提取出相应的LDE参数(例如,通过布局编辑器24)以及计算出的电路参数。应用程序用户界面26还被配置为接收用户输入,从而调整电路原理图和布局,进而挑选出特定器件(比如,晶体管),以便显示出该晶体管的电路参数,并且如图5所示那样图示出趋势。使用箭头示意性地示出部件20、22、24以及26之间的相互作用。
图2示意性地示出了根据实施例的正在进行设计的电路100的原理图。电路100包括反相器102,该反相器被用作为解释该实施例概念的实例。应该意识到,除了反相器102,实际设计的电路可以包括更多器件。反相器102包括PMOS晶体管110和NMOS晶体管112,其与相应的漏极区域互连,并且与栅极互连。
图4示意性地示出PMOS晶体管110和NMOS晶体管112的布局的部分。PMOS晶体管110包括有源区域(OD)124和形成在OD 124上方的多晶硅栅极128。NMOS晶体管112包括OD 126和形成在OD 126上方的多晶硅栅极128。伪栅电极(伪多晶硅,dummy poly)130和132形成在邻近PMOS晶体管110和NMOS晶体管112的位置上。还示出了P-阱区域134,其中,OD 126是p-阱区域134的部分。
图3示出了根据实施例的集成电路设计工艺的示意性流程图。在步骤30中,例如,使用了如图1中所示的原理图编辑器22生成和编辑正在进行设计的集成电路100的电路原理图31。在步骤32中,执行原理图驱动布局步骤(schematic-driven layout step),其中,电路原理图31中的器件,比如,晶体管(包括图2中的PMOS晶体管110和NMOS晶体管112)被从原理图31中提取出来,并且可以生成单独的提取出的器件的布局。在布置步骤34中,单独的提取出的器件的布局被布置在期望位置上。可以使用如图1中所示的布局编辑器24执行布置步骤34。在布置步骤34中,遵守布局限制,从而确保不会违反设计规则。
在步骤36中,从在步骤34中生成的布局中提取布局参数(在下文中可选地被称作LDE参数)。应该理解,提取LDE参数的时候电路100的布局可以仍未结束,并且可能存在已布线的部分,而剩余的部分仍未进行布线。LDE参数包括,但并不限于,涉及阱邻近影响(WPE)、OD-间隔影响(OSE)、多晶硅间隔影响(PSE)、扩散长度(LOD)影响、边界影响参数、等等。例如,参考图4,LDE参数可以包括多晶硅间隔PS、OD间隔OS、等等。其他参数,比如,扩散长度、多晶硅栅极与阱区域(比如,图4中的阱区域134)边界之间的距离以及其他涉及晶体管110和112的布局的其他布局参数也被提取出来作为LDE参数。
再参考图3,在步骤38中计算出集成电路100(图2)中的电路(性能)参数。可以通过LDE感知布局模块20(图1)中的计算单元28执行步骤38。可以计算出,例如,图2中的每个晶体管110和112的驱动电流Id、阈值电压Vth、跨导Gm、漏电导Gds、等等。由于在该步骤中,晶体管110和112已经进行了布局,并且,诸如相邻的多晶硅栅极130和132、相邻的阱区域(未示出)、等等的相邻环境中的至少一些也都已经进行了布局,因此,可以从现有的布局中提取出相关的LDE参数。提取出的LDE参数将电路参数的计算纳入到考虑范围内。该步骤被称为LDE参数向原理图的反向传递(back-annotating),其中,现在利用实际提取出的LDE参数替代原理图31中默认假设的布局参数。在实施例中,LDE参数的提取(步骤36)也通过图1中的计算单元28进行,该计算单元是LDE感知布局模块20的部分。提取步骤36和计算步骤38可以被执行为与布局步骤34的进程同时进行的背景计算工艺,也就是说,当对晶体管,比如110/112(图2),进行布局时,提取步骤36和计算步骤38可以在布局上执行,而不用等待图2中的整个电路完成。
例如,可以通过应用程序用户界面26(图1)将计算出的电路参数显示出来,以便设计者查看。图4示出的是示例性地显示出的PMOS晶体管110的电路性能参数,当设计者选择PMOS晶体管110并且要求示出其电路参数时,便将这些电路参数显示出来。(设计者所使用的计算机的)热键可以被指定用于应用程序用户界面26,从而,响应于晶体管110的选择以及热键的按压,显示出被挑选出来的器件(比如,晶体管)的电路性能参数。由于在对器件进行布局之后,用户能够在任何时间显示出电路100中的器件的电路参数,所以电路性能参数的可视化也被称为运行中(on-the-fly)的可视化,该运行中的可视化基本上是实时的可视化工艺。
在实施例中,如图4中所示,电路性能参数被显示为下降值(degradationvalue),这些下降值意味着,与在不将提取出来的LDE参数传递给电路原理图31的情况下所获得的模拟结果相比,所计算出的值是下降的。在不将实际的布局影响纳入到考虑范围内的模拟结果中,默认的LDE参数是假设的。当将提取出的LDE参数纳入到考虑范围内时,与使用默认的LDE影响的情况相比,所得到的电路性能参数可能会有所下降。因此,在实施例中,尽管也可以显示出实际计算出的电路性能参数值,但仍将电路性能参数显示为下降的百分比值。在图4所示的实例中,PMOS晶体管110的驱动电流Id下降了大约11.3%,而阈值电压下降了大约8.2%。
在步骤38中计算并被显示出来的电路参数反映出现有的布局性能。为了使设计者更好地预测如何调整电路布局,将趋势分析器29(图1)与LDE感知布局模块20结合用于进行趋势分析。尽管趋势分析器29和计算单元28被示出为分离的部件,但趋势分析器29也可以是计算单元28的部分。图3中的步骤40示出了相应的步骤。例如,图5示出了通过趋势分析器29产生的示例性的趋势曲线图,并且将其显示给用户检查。该趋势示意性地示出了驱动电流Id是如何随着阱间隔的增大和减小而变化的,可以从晶体管栅极到相邻的阱区域之间测量出该阱间隔。另外,趋势分析器29可以接受用户输入的不同的布局参数,并且将用户输入的布局参数与计算相结合地示出电路性能参数的趋势。例如,用户可以输入多晶硅间隔的第一值PS1,而趋势分析器29则相应地产生出第一趋势,其中,驱动电流Id(多晶硅间隔等于PS1)被示为阱间隔的函数。用户可以输入多晶硅间隔的与值PS1不同的第二值SP2,而趋势分析器29则相应地生成出第二趋势,其中,驱动电流Id(多晶硅间隔等于PS2)被示为阱间隔的函数。该趋势曲线图还可以在同一个图中示出多条线50,每条线50都对应于布局参数(比如多晶硅间隔)中的一个的值。
可以通过图1中的LDE感知布局模块20执行图3所示的步骤36、38、和40。可以在布局步骤32和34开始后的任何时间进行步骤36、38和40,并且可以在集成电路100(图2)的布局结束之前或之后的任何时间执行步骤36、38和40。例如,可以在只对电路中的几个晶体管进行布线时,在对电路的一个或多个功能单元进行布线时,或在对整个电路100进行布线之后执行步骤36、38和40。另外,可以在电路的布线步骤(图3中的步骤42)执行之间或之后执行步骤36、38、和40。
在电路布局之后,可以执行布线步骤42,从而对用于互连集成电路中的器件的金属线进行布线。在一些实施例中,布线步骤包括对作为互连线路的多晶硅线进行布线。因此,LDE影响也包括多晶硅线的影响。类似地,可以在一些或所有多晶硅线和金属线被布线之前和/或之后实现电路性能的运行中的可视化,并且可以由此调整布局(如果需要的话)以适应多晶硅线的影响。
再次参考图3,可以进行后布局模拟44,从而生成详细的布局评估结果。在进行后布局模拟之后,生成整个电路100的电路性能参数,并且将其与设计规范的要求相比较。设计工艺可以循环回到步骤30,从而在电路性能参数不符合设计规范要求的情况下修改设计。但如果电路性能参数符合设计规范的要求,那么可以结束电路和布局,并且完成设计。
通过实时检查器件的电路性能的能力,设计者可以在对电路进行布线时调整电路,并且无需等到后布局模拟之后。如图4和图5所示,由于可以在器件布线时立刻得知器件的电路性能参数,因此,设计者能够确定器件是否符合设计规范,并且能够相应地实时调整布局。例如,如果晶体管的驱动电流Id不符合设计规范,那么,可以调节与晶体管相关的多晶硅间隔、阱间隔、等等,从而增大驱动电流Id。相反地,如果晶体管的驱动电流Id超出设计规范的裕度(margin)大于期望值,则可以对布局,比如,多晶硅间隔、阱间隔、等等进行调整,从而减小裕度并且降低晶体管芯片区域的利用率。因此,通过使用实施例,可以在电路性能和芯片区域利用率之间达成妥协。另外,设计者能够在可选的布局方案之间进行评估,从而选择出最优的布局方案。
根据实施例,一种设计系统包括布局模块和用户界面。该布局模块包括计算单元,其中,所述计算单元被配置为:在所述电路的布局阶段期间,提取电路中的集成电路器件的布局参数;以及使用所述布局参数计算出所述器件的电路参数。该用户界面被配置为响应于用户对所述器件的选择,显示出所述器件的所述电路参数。
根据一些实施例,一种设计系统包括布局模块和用户界面。该布局模块包括计算单元,其中,所述计算单元被配置为:在所述电路的布局阶段期间,提取电路中的集成电路器件的布局参数;以及使用所述布局参数计算出所述器件的电路参数。该用户界面被配置为响应于用户对所述器件的选择,显示出所述器件的所述电路参数。
根据其他实施例,一种布局模块,被配置为:提取电路的晶体管的布局参数;将布局参数反向传递到电路的原理图,从而替换默认布局参数,其中,默认布局参数是假设值;以及使用包括布局参数的原理图计算出晶体管的电路参数。
根据另外其他的实施例,一种方法,包括:根据电路的原理图将电路的部分布局为布局;从布局中提取出电路中的晶体管的布局参数;将布局参数反向传递到原理图,从而替换晶体管的默认布局参数,其中,默认布局参数是假设值;使用包括从布局中提取出的布局参数的原理图计算出晶体管的电路参数;以及在用户界面上显示出晶体管的电路参数。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、制造、材料组分、装置、方法或步骤的范围内。此外,每条权利要求构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。

Claims (19)

1.一种用于集成电路的设计系统,包括:
布局模块,包括计算单元,其中,所述计算单元被配置为:
在电路的布局阶段期间,实时提取电路中的集成电路器件的当前的布局参数;以及
使用所述当前的布局参数实时计算出所述器件的当前的电路参数;以及
用户界面,被配置为响应于用户对所述器件的选择,显示出所述器件的所述当前的电路参数;
其中,所述计算单元被配置为执行在所述电路的所述布局阶段结束之前,实时提取出所述当前的布局参数并且实时计算出所述当前的电路参数的背景计算。
2.根据权利要求1所述的用于集成电路的设计系统,其中,所述集成电路器件是晶体管,其中,所述当前的电路参数包括从由所述晶体管的驱动电流、阈值电压、跨导、以及漏电导构成的组中选择出的参数,并且其中,所述当前的布局参数包括从由晶体管的阱邻近参数、OD间隔、多晶硅间隔、扩散长度、及其组合构成的组中选择出的参数。
3.根据权利要求1所述的用于集成电路的设计系统,进一步包括:原理图编辑器,被配置为用于编辑所述电路的原理图,并且其中,所述原理图编辑器被配置为将所述当前的布局参数反向传递给所述原理图来替换所述集成电路器件的默认布局参数,其中,所述默认布局参数是假设值。
4.根据权利要求1所述的用于集成电路的设计系统,进一步包括:布局编辑器,其中,所述布局编辑器被配置为用于实时提取所述集成电路器件的所述当前的布局参数。
5.根据权利要求1所述的用于集成电路的设计系统,其中,所述计算单元被配置为在互连所述电路中的器件的金属线被布线之前,实时提取出所述当前的布局参数并且实时计算出所述当前的电路参数。
6.根据权利要求1所述的用于集成电路的设计系统,其中,所述布局模块进一步包括:分析器,被配置用于响应于所述当前的布局参数的变化,生成并且图示出所述当前的电路参数的下降趋势。
7.根据权利要求1所述的用于集成电路的设计系统,其中,所述布局模块和所述用户界面被配置为将所述当前的电路参数显示为下降百分比。
8.一种用于集成电路的设计系统,包括:
布局模块,被配置为:
在电路的布局阶段期间,实时提取电路的晶体管的当前的布局参数;
将所述当前的布局参数反向传递到所述电路的原理图,从而替换默认布局参数,其中,所述默认布局参数是假设值;以及
使用包括所述当前的布局参数的所述原理图实时计算出所述晶体管的当前的电路参数;
其中,所述布局模块包括:计算单元,被配置为执行在所述电路的所述布局阶段结束之前,实时提取出所述当前的布局参数并且实时计算出所述当前的电路参数的背景计算。
9.根据权利要求8所述的用于集成电路的设计系统,进一步包括:用户界面,被配置为响应于用户对所述晶体管的选择,显示出所述晶体管的所述当前的电路参数。
10.根据权利要求9所述的用于集成电路的设计系统,其中,所述当前的电路参数被显示为从具有所述默认布局参数的所述原理图模拟出的电路参数中下降得到的下降值。
11.根据权利要求8所述的用于集成电路的设计系统,其中,所述当前的电路参数包括从由所述晶体管的驱动电流、阈值电压、跨导、和漏电导构成的组中选择出的参数,并且其中,所述布局参数包括从由晶体管的阱邻近参数、OD间隔、多晶硅间隔、扩散长度、及其组合构成的组中选择出的参数。
12.根据权利要求8所述的用于集成电路的设计系统,进一步包括:
原理图编辑器,被配置为用于编辑所述电路的所述原理图,其中,所述原理图编辑器和所述布局模块被配置为将所述当前的布局参数反向传递给所述原理图;以及
布局编辑器,被配置为生成和编辑所述电路的布局,其中,所述布局模块被配置为从所述布局中实时提取所述晶体管的所述当前的布局参数。
13.根据权利要求8所述的用于集成电路的设计系统,其中,所述布局模块进一步包括:分析器,被配置为响应于所述当前的布局参数之一的变化,生成并且图示出所述当前的电路参数之一的下降趋势。
14.一种用于集成电路的设计方法,包括:
根据电路的原理图将所述电路的部分布局为布局;
在电路的布局阶段期间,从所述布局中实时提取出所述电路中的晶体管的当前的布局参数,其中,计算单元被配置为执行在所述电路的所述布局阶段结束之前,实时提取出所述当前的布局参数并且实时计算出所述当前的电路参数的背景计算;
将所述当前的布局参数反向传递到所述原理图,从而替换所述晶体管的默认布局参数,其中,所述默认布局参数是假设值;
使用包括从所述布局中实时提取出的所述当前的布局参数的原理图计算出所述晶体管的当前的电路参数;以及
在用户界面上显示出所述晶体管的所述当前的电路参数。
15.根据权利要求14所述的用于集成电路的设计方法,进一步包括:
对金属线进行布线,从而互连所述布局中的器件;以及
执行后布局模拟,从而模拟所述电路的整体性能,其中,在所述布线和所述后布局模拟步骤之前,执行所述提取、反向传递、和计算的步骤。
16.根据权利要求14所述的用于集成电路的设计方法,其中,响应于用户对所述晶体管的选择,执行显示出所述晶体管的所述当前的电路参数的步骤。
17.根据权利要求14所述的用于集成电路的设计方法,进一步包括:响应于所述当前的布局参数中的一个的变化,计算和图示出所述当前的电路参数之一的下降趋势。
18.根据权利要求14所述的用于集成电路的设计方法,其中,所述当前的电路参数包括从由所述晶体管的驱动电流、阈值电压、跨导、和漏电导构成的组中选择出的参数,并且其中,所述当前的布局参数包括从由所述晶体管的阱邻近参数、OD间隔、多晶硅间隔、扩散长度、及其组合构成的组中选择出的参数。
19.根据权利要求14所述的用于集成电路的设计方法,其中,将所述晶体管的所述当前的电路参数在所述用户界面上显示为下降百分率,并且其中,所述下降百分比从电路参数下降得到,所述当前的电路参数由具有所述默认布局参数的所述电路的所述原理图计算得出。
CN201110399371.8A 2011-05-25 2011-12-01 电路布局中的运行中的器件表征 Active CN102799701B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/115,752 2011-05-25
US13/115,752 US8726207B2 (en) 2011-05-25 2011-05-25 On-the-fly device characterization from layouts of circuits

Publications (2)

Publication Number Publication Date
CN102799701A CN102799701A (zh) 2012-11-28
CN102799701B true CN102799701B (zh) 2016-08-17

Family

ID=47198811

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110399371.8A Active CN102799701B (zh) 2011-05-25 2011-12-01 电路布局中的运行中的器件表征

Country Status (3)

Country Link
US (1) US8726207B2 (zh)
KR (1) KR101386687B1 (zh)
CN (1) CN102799701B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8621409B2 (en) * 2012-04-30 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing layout-dependent effects
US8775993B2 (en) * 2012-08-31 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with layout-dependent effects
US9411925B2 (en) * 2014-04-14 2016-08-09 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Simultaneously viewing multi paired schematic and layout windows on printed circuit board (PCB) design software and tools
US9092589B2 (en) * 2013-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with device array layout generation
KR102257381B1 (ko) * 2014-07-23 2021-06-01 삼성전자주식회사 집적회로 레이아웃의 설계 방법 및 그것을 수행하는 컴퓨터 시스템
US9230050B1 (en) * 2014-09-11 2016-01-05 The United States Of America, As Represented By The Secretary Of The Air Force System and method for identifying electrical properties of integrate circuits
CN105653744A (zh) * 2014-11-13 2016-06-08 中芯国际集成电路制造(上海)有限公司 版图布局的设计方法及装置
TWI695283B (zh) * 2015-08-05 2020-06-01 聯華電子股份有限公司 半導體佈局結構及其設計方法
CN106679724B (zh) * 2017-01-04 2019-10-11 国网山东省电力公司菏泽供电公司 用户界面显示装置及开关柜全景监测系统
US10599130B2 (en) 2017-01-26 2020-03-24 Samsung Electronics Co., Ltd. Method and system for manufacturing an integrated circuit in consideration of a local layout effect
US10467370B1 (en) 2017-09-30 2019-11-05 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing a net as a transmission line model in a schematic driven extracted view for an electronic design
US10678978B1 (en) 2017-09-30 2020-06-09 Cadence Design Systems, Inc. Methods, systems, and computer program product for binding and back annotating an electronic design with a schematic driven extracted view
US10558780B1 (en) * 2017-09-30 2020-02-11 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing schematic driven extracted views for an electronic design
US10997333B1 (en) 2019-12-05 2021-05-04 Cadence Design Systems, Inc. Methods, systems, and computer program product for characterizing an electronic design with a schematic driven extracted view

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101986315A (zh) * 2010-11-19 2011-03-16 杭州开鼎科技有限公司 一种深亚微米下专用集成电路芯片物理实现方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002117092A (ja) * 2000-10-05 2002-04-19 Fujitsu Ltd 半導体集積回路装置の設計方法、及び設計装置
US8347244B2 (en) * 2002-03-06 2013-01-01 International Business Machines Corporation Topologies and methodologies for AMS integrated circuit design
US6862723B1 (en) * 2002-10-03 2005-03-01 Taiwan Semiconductor Manufacturing Company Methodology of generating antenna effect models for library/IP in VLSI physical design
US7080340B2 (en) * 2003-11-26 2006-07-18 International Business Machines Corporation Interconnect-aware integrated circuit design
US7089512B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Method for optimal use of direct fit and interpolated models in schematic custom design of electrical circuits
US20050229142A1 (en) * 2004-04-09 2005-10-13 Zenasis Technologies, Inc. System and method for automated accurate pre-layout estimation of standard cell characteristics
US7941776B2 (en) * 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
US7783999B2 (en) * 2008-01-18 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical parameter extraction for integrated circuit design
JP4973572B2 (ja) * 2008-03-28 2012-07-11 富士通セミコンダクター株式会社 半導体回路の設計方法および製造方法
US8671367B2 (en) * 2008-06-25 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design in optical shrink technology node
US20110078649A1 (en) 2009-09-30 2011-03-31 Ssu-Pin Ma Wafer layout assisting method and system
US8694950B2 (en) * 2010-07-24 2014-04-08 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic circuit designs with electrical awareness
US8949080B2 (en) * 2010-08-25 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of designing integrated circuits and systems thereof
US8713498B2 (en) * 2011-08-24 2014-04-29 Freescale Semiconductor, Inc. Method and system for physical verification using network segment current
US8806414B2 (en) * 2012-05-31 2014-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for layout parasitic estimation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101986315A (zh) * 2010-11-19 2011-03-16 杭州开鼎科技有限公司 一种深亚微米下专用集成电路芯片物理实现方法

Also Published As

Publication number Publication date
US8726207B2 (en) 2014-05-13
CN102799701A (zh) 2012-11-28
KR101386687B1 (ko) 2014-04-18
KR20120132273A (ko) 2012-12-05
US20120304146A1 (en) 2012-11-29

Similar Documents

Publication Publication Date Title
CN102799701B (zh) 电路布局中的运行中的器件表征
KR100831271B1 (ko) 물리적 레이어의 프로그램적 생성을 통한 물리적 레이아웃 데이터를 변경하는 방법
US20130104095A1 (en) Integrated Circuit Routing with Compaction
JP5699826B2 (ja) レイアウト方法及び半導体装置の製造方法
CN106605301A (zh) 具有用于垂直纳米线实现的紧凑串联连接的阵列
JP2008532275A (ja) 集積回路のトランジスタ性能を最適化するための方法
CN105653744A (zh) 版图布局的设计方法及装置
US9208277B1 (en) Automated adjustment of wire connections in computer-assisted design of circuits
US8560294B1 (en) Method and apparatus for an automated input/output buffer information specification model generator
Moreira et al. Adapting a C-element design flow for low power
Ho et al. Architecture and design flow for a highly efficient structured ASIC
US20100185431A1 (en) Circuit verification device, method, and program storage medium
CN105844012A (zh) 一种分立器件的版图比对原理图验证方法及装置
US9471742B2 (en) Method for displaying timing information of an integrated circuit floorplan in real time
TW201102851A (en) Execution monitor for electronic design automation
US9875326B2 (en) Addressing coupled noise-based violations with buffering in a batch environment
Gandikota et al. Victim alignment in crosstalk-aware timing analysis
US10242144B1 (en) Methods for minimizing logic overlap on integrated circuits
Kashif et al. Signal integrity problems in electronic designing
US7600204B1 (en) Method for simulation of negative bias and temperature instability
JP5402356B2 (ja) 電源ノイズ解析方法及び電源ノイズ解析プログラム
JP4855283B2 (ja) 半導体集積回路の設計装置
JP2004013821A (ja) 半導体集積回路設計方法および設計装置
TW201917821A (zh) 積體電路及單元結構
Cline et al. STEEL: A technique for stress-enhanced standard cell library design

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant