CN102680881B - 测试技术和电路的设计 - Google Patents

测试技术和电路的设计 Download PDF

Info

Publication number
CN102680881B
CN102680881B CN201210063223.3A CN201210063223A CN102680881B CN 102680881 B CN102680881 B CN 102680881B CN 201210063223 A CN201210063223 A CN 201210063223A CN 102680881 B CN102680881 B CN 102680881B
Authority
CN
China
Prior art keywords
signal
clock
enable signal
circuit
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210063223.3A
Other languages
English (en)
Other versions
CN102680881A (zh
Inventor
J·G·达斯蒂达尔
K·R·坎蒂普迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altera Corp filed Critical Altera Corp
Publication of CN102680881A publication Critical patent/CN102680881A/zh
Application granted granted Critical
Publication of CN102680881B publication Critical patent/CN102680881B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • G01R31/318591Tools
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2882Testing timing characteristics
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了测试集成电路(IC)的电路和方法。公开的电路块包括被联接从而接收使能信号和两个时钟信号的选择器电路。基于接收的使能信号,两个时钟信号之一被选择作为选择器电路的输出。存储元件被联接以接收使能信号和选择器电路的输出作为时钟输入信号。逻辑门被联接以接收存储元件的输出和使能信号。另一个选择器电路被联接以接收来自逻辑门的输出和使能信号。选择器电路选择逻辑门的输出或使能信号作为IC上扫描链的扫描使能信号。

Description

测试技术和电路的设计
技术领域
背景技术
集成电路(IC)器件在生产前后要经历一系列严格测试。每个器件可以也必须通过严格工业标准测试方法,从而验证器件质量。简单测试电路也可嵌入IC器件从而测试器件不同部件的功能。这些测试电路通常用于执行生产后测试以保IC器件无错误且如预期一样运行。
一般来说,可测试性设计(DFT)是用于检测制造的IC器件上不同种类故障的设计技术或嵌入式电路的名称。例如,DFT电路可用于检测IC器件上逻辑块中存在的故障。
扫描链,通常是通过连接逻辑元件,如串联的触发器和其他存储元件形成的,其集成到器件中从而为不同逻辑缺陷测试器件。扫描链可以经配置接收可用来测试特殊器件功能的测试矢量集合。通常,在数据从扫描链被读取从而确定器件功能之前,允许器件用测试矢量集合操作至少几个时钟周期。
在典型扫描测试中,要求两个快时钟过渡。第一过渡加载测试值,而第二过渡捕获基于该测试值的结果。更多扫描测试方法中的两个是捕获加载(LOC)和移位加载(LOS)。即使LOC扫描测试是常用的,LOC扫描测试也可能不能快速地捕获扫描链中寄存器的每个状态。在方面,LOS扫描测试是更好的选择,因为寄存器的所有阶段可以LOS扫描测试快速测试。即使LOS扫描测试相比LOC扫描测试是更好的扫描技术,在LOS扫描测试中,将扫描链置入“扫描模式”的扫描使能信号需要作为时钟路由,这通常要求IC器件有更大面积。
发明内容
因此,需要可配置按需要执行LOC或LOS测试的扫描链。需要有简单本地化的扫描链电路,其提供成本有效的测试技术。本发明实施例包括在集成电路(IC)中实现快速测试的电路和技术。
应该理解本发明示例性实施例可以大量方式实施,如工艺、设备、系统、器件、或计算机可读介质上方法。下面说明本发明几个创造性实施例。
在一个实施例中,公开了电路块。该电路块包括被联接以接收两个时钟信号和使能信号的第一选择器电路。第一选择器电路基于使能信号输出两个时钟信号中的一个。存储元件被联接以接收使能信号并输出第一选择器电路作为时钟输入信号。逻辑门被联接以接收来自存储元件的输出和使能信号。第二选择器电路然后被联接以接收来自逻辑门的输出和使能信号。第二选择器电路输出来自逻辑门的输出或使能信号作为扫描使能信号。在一个实施例中,扫描使能信号联接到扫描链。
在另一个实施例中,提供了IC。该IC包括被联接以接收扫描使能信号的扫描链和基于使能信号以及时钟信号产生时钟输出的存储元件。逻辑电路被联接以接收使能信号和来自储元件的时钟输出。选择器电路然后输出使能信号和来自逻辑电路的输出之一作为扫描链的扫描使能信号。
在根据本发明的替换实施例中,提供了测试电路的方法。该方法包括接收两个时钟信号。两个时钟信号之一然后基于第一使能信号被选择为时钟输入信号。第一使能信号是以一个时钟信号设定时钟的从而生成第二使能信号。当原始使能信号处于第二逻辑电平时,且在时钟信号从第二逻辑电平过渡到第一逻辑电平后,第二使能信号从第一逻辑电平过渡到第二逻辑电平。第二使能信号或第一使能信号被选择为电路中扫描链的扫描使能信号。
结合附图,其他方面可从下面的详细说明中显然看出,附图以例子的方式示出示例性实施例的原理。
附图说明
本发明可通过结合附图参考下面的说明得到最佳理解。
图1A示出根据本发明一个实施例的示例性扫描链。
图1B示出根据本发明一个实施例的LOC扫描运行的示例性时序图。
图1C示出根据本发明一个实施例的LOS扫描运行的示例性时序图。
图2示出根据本发明一个实施例测试IC的示例性方法。
图3示出根据本发明一个实施例联接到扫描链的示例性可测试性设计(DFT)块。
图4示出根据本发明,可执行不同实施例的可编程逻辑器件(PLD)的示例性方框图。
图5示出根据本发明一个实施例,具有互连线和DFT块的图4中PLD上逻辑区的更详细图示。
具体实施方式
示例性实施例包括在集成电路(IC)中实现高速测试的电路和技术。应该理解,高速测试是指通常要求测试时钟信号以系统速度或器件操作速度生成的测试技术。应该理解,这里所述的图仅是示例性的且不是为了限制本发明的保护范围。
然而,对本领域技术人员来说,显然本发明实施例可无需部分或全部这些特定细节实施。在其他情形中,没有详细说明已知操作,这是为了避免不必要地模糊示例性实施例。
制造的IC是以电子设计自动化(EDA)工具测试的,其具有总称为可测试性设计(DFT)的设计和测试技术。通常,扫描链由串联扫描元件、如触发器、寄存器、和其他存储元件形成,其作为DFT特征包括在IC中。这些扫描链可包括不同可选择模式。例如,扫描链可包括“扫描模式”和“正常模式”。当扫描链处于“正常模式”时,扫描链通常执行预期的器件功能。当扫描链处于“扫描模式”时,扫描链被配置依次接收和输出数据。
图1A示出根据本发明一个示例性实施例的示例性扫描链100。应该理解这里所述的存储元件可指任何存储元件,如寄存器、触发器、锁存器、等等。即使图1A的实施例中仅示出六个存储元件120A1-120B3,但应该理解,更少或更多的存储元件可连接到一起形成扫描链。在扫描链100中,联接存储元件以便其在扫描链100中形成多级,如存储元件120A1和120B1形成第一寄存器级,存储元件120A2和120B2形成第二寄存器级,如此类推。通常,在每个寄存器级之间有逻辑电路。例如,在一个实施例中,逻辑电路140在第一寄存器级和第二寄存器级之间。类似地,逻辑电路145可在第二寄存器级和第三寄存器级之间。逻辑电路140和145可包括组合逻辑电路,乘法器、等等,这些用于执行不同功能。
还参考图1A,选择器电路130A1-130B3位于每个相应存储元件120A1-120B3的输入端子。每个选择器电路130A1-130B3在两个输入信号之间选择并输出结果到相应存储元件。例如,选择器电路130A2在输入端子132A2选择性输出来自存储元件120B1的输出,或在输入端子134A2输出来自逻辑电路140的输出到存储元件120A2。类似地,选择器电路130B2在输入端子132B2选择性输出来自存储元件120A2的输出,或在输入端子134B2输出来自逻辑电路140的输出到存储元件120B2。扫描链100中每个选择器电路130A1-130B3基于联接到每个选择器电路130A1-130B3的选择端子的使能信号EN,选择两个输入信号中的一个并输出所选信号到各个存储元件120A1-120B3。
仍然参考图1A,存储元件120A1-120B3可由在存储元件120A1-120B3的时钟输入端子接收的时钟信号108,CLK,设定时钟。在一个实施例中,存储元件120A1-120B3由接收的CLK信号108的边缘触发。CLK信号108由选择器电路110基于在选择器电路110的输入端子106接收的使能信号选择性输出。两个时钟信号,SCAN_CLK和CAPUTURE_CLK分别联接到输入端子102和104,并被选择性输出到扫描链100作为时钟信号108,CLK。
根据一个实施例,当扫描使能信号,EN处于活跃低电平时,扫描时钟SCAN_CLK输出到寄存器120A1-120B3的时钟输入作为时钟信号。结果,值,如测试矢量在输入端子132A1被扫描到扫描链100中,并传播通过扫描链的剩余部分。应该理解,输入端子132A1可联接到另一存储元件,输入引脚或外部源。其中两个测试扫描链,如扫描链100的普通扫描技术是捕获加载(LOC)和移位加载(LOS)。根据使能信号EN如何路由到扫描链100,LOC或LOS扫描技术可在扫描链100上实施。例如,在LOS扫描运行中,使能信号EN作为时钟信号被路由,如被路由通过IC上时钟网络。
在LOC实施中,第一过渡,即,加载过渡捕获从扫描链中第一寄存器级传播的值,而第二过渡,即,捕获过渡捕获从第二寄存器级传播到扫描链中第三寄存器级的值。类似地,在LOC扫描测试中,所述值快速从第二寄存器级向前传播。
图1B示出根据本发明一个实施例的LOC扫描运行的示例性时序图。波形155是时钟信号,CLK的示例性波形。CLK波形155示出两个快过渡,150和151。第一过渡150是加载过渡,而第二过渡151是捕获过渡。加载过渡150捕获从第一寄存器级,如图1A中寄存器120A1和120B1传播值通过逻辑电路140到第二寄存器级,如寄存器120A2和120B2。然后捕获过渡151快速捕获从第二寄存器传播到第三寄存器级,如图1A中寄存器120A3和120B3的值。波形158表明扫描使能信号EN从逻辑高电平过渡到逻辑低电平,并在两个快CLK过渡150和151过程中保持在逻辑低电平。数据波形166示出两个基于上述两个CLK过渡150和151的数据过渡窗口,即,慢捕获过渡窗口160和快速捕获过渡窗口162。
图1C示出根据本发明一个实施例的LOS扫描运行的示例性时序图。在LOS实施中,在以功能频率应用两个时钟脉冲之前,扫描链首先是以慢时钟速率加载的。置扫描线于“扫描模式”的扫描使能信号也应在两个快速过渡之间激活。CLK波形177示出两个时钟过渡170和171。第一过渡,加载过渡170捕获来自扫描链中较早级寄存器的值,而第二过渡,捕获过渡171快速捕获从较早寄存器级传播的值。数据波形188示出数据窗口180和182,分别表示来自较早级寄存器的数据和快速捕获的数据。在LOS扫描运行中,扫描使能信号EN,示为波形178,在加载过渡170后但在捕获过渡171之前,从逻辑高电平过渡到逻辑低电平。换句话说,扫描使能信号EN在两个数据窗口180和182之间过渡。
应该理解,扫描使能信号EN在LOS扫描运行中作为时钟信号被路由,如被路由通过IC上时钟网络。然而,路由扫描使能信号,EN通过IN上时钟网络可能是困难的。这里的实施例描述对于LOS实施更有效地路由使能信号的技术,使得LOS扫描运行能够按需要在单个扫描链上实施。
图2示出根据本发明一个实施例测试IC的示例性方法200。流程200从在操作210接收两个时钟信号开始。在步骤220,基于第一使能信号,一个时钟信号被选择为时钟输入信号。第一使能信号是在操作230中以时钟输入信号设定时钟的,从而生成第二使能信号。根据一个实施例,当第一使能信号在逻辑低电平,且当时钟输入信号从逻辑低电平过渡到逻辑高电平时,第二使能信号从逻辑高电平过渡到逻辑低电平。在步骤240,对于IC中的扫描链,第一使能信号或第二使能信号被选择为扫描使能信号。根据一个实施例,扫描使能信号是基于配置随机存取存储器(CRAM)位选择的。根据另一个实施例,扫描使能信号是根据存储在配置移位寄存器(CSR)中存储的位选择的,配置移位寄存器用于配置IC。作为示例性实施例,扫描链类似于图1A中扫描链100,且测试矢量是通过扫描链移位的。
图3示出根据本发明一个实施例联接到扫描链100A的示例性可测试性设计(DFT)块300。应该理解,扫描链100A操作基本类似于图1A中扫描链100。DFT块300包括存储元件310、逻辑门320、和选择器电路330。两个时钟信号,即,扫描时钟和捕获时钟联接到选择器电路110的输入端子102和104。如上所述,选择器电路110基于在选择器端子106接收的扫描使能信号EN,选择两个时钟信号中的一个座位时钟信号输出108。
还参考图3,扫描使能信号,EN,联接到存储元件310、逻辑门320、和选择器电路330。来自选择器电路110的输出108的时钟信号联接到存储元件310的时钟端子。在输入端子312的使能信号EN是通过时钟端子314的时钟信号上升边缘设定时钟的。为了便利,上升时钟边缘用作活跃时钟边缘。然而,应该理解,在许多情形中,下降时钟边缘也可用作活跃时钟边缘。设定时钟号316联接到逻辑门320。在该实施例中,使用OR门320。然而,应该理解也可使用其他功能等效的逻辑门。类似地,使用OR门仅是示例性的,而不是为了限制本发明的保护范围。
逻辑门320的输出334联接到选择器电路330。选择器电路330选择来自逻辑门320的输出334或联接到输入端子332的使能信号EN。选择器电路330所选的信号SCANEN是在输出端子336输出的。输出336,SCANEN是基于在选择器电路330的选择端子340接收的输入信号选择的。在该实施例中,选择端子340联接到控制DFT块300配置的CRAM位。输出336处的SCANEN联接到扫描链100A中选择器电路。根据CRAM位的配置,扫描链100A可被配置运行LOC或LOS扫描测试。在一个实施例中,LOS扫描是在当CRAM位设定为逻辑高电平时执行的。在另一个实施例中,LOC扫描是在当CRAM位被设定为逻辑低电平时执行的。该情形下,即使示出了CRAM位,应该理解,扫描链100A可以以其他相关配置位配置。在一个示例性实施例中,当执行LOS扫描测试时,扫描使能信号EN是在DFT块300中设定时钟的,而非以全局时钟信号设定时钟。作为对DFT块300内的扫描使能信号设定时钟的结果,与路由作为全局时钟信号的扫描信号关联的总成本可减小。
图4示出根据本发明,可执行不同实施例的PLD 400的示例性方框图。可编程器件400包括逻辑区415和I/O元件410。I/O元件410可支持不同存储器接口。其他辅助电路,如用于时钟产生和时序的相锁环(PLL)425可位于核心逻辑区415外部,如在可编程器件400的拐角处和I/O元件410附近。逻辑区415可布置逻辑单元,其最基本可包括“逻辑元件”(LE),或在更复杂水平上可包括可编程知识产权(IP)块。应该理解,不同的通用功能的专有配置常被称为“IP芯”。LE可包括基于查询表的逻辑区,且这些逻辑元件可分组到“逻辑阵列块”(LAB)。逻辑元件和逻辑元件组或LAB可被配置执行用户所需逻辑功能。逻辑区415也可包括可配置运行LOC或LOS扫描测试的DFT块和扫描链,其类似于图3的DFT块300和扫描链100A。
图5根据本发明一个实施例更详细示出图4中PLD 400上的逻辑区415,其具有互连线522和DFT块515A-515D。逻辑块510A-510D可以是LE、LAB、IP块或任何逻辑元件,其经分组和配置执行特定功能。每个逻辑块510A-510D彼此通过互连线522连接。DFT 515A-515D分别设置在逻辑块510A-510D内。根据一个实施例,每个DFT块515A-515D都类似于具有图3中选择器电路110的DFT块300。在图5的实施例中,每个DFT块515A-515D用于测试逻辑区415内的测试互连线522。由每个逻辑块510A-510D从互连线522接收的信号分别由DFT块515A-515D设定时钟。在图5所示的实施例中,每个逻辑块510A-510D包括多个逻辑元件512A-512D,其可被联接以执行不同逻辑功能。应该理解,可出现在每个逻辑块510A-510D中并在逻辑区415内的其他元件没有示出,以便避免不必要地模糊本发明。还应该理解,更多或更少的逻辑块510A-510D可出现在逻辑区415中。
至此,该实施例是参考集成电路描述的。这里所述的方法和设备可包括到任何合适电路中。例如,所述方法和设备可包括到无数类型的器件中,如微处理器或可编程逻辑器件。示例性可编程逻辑器件包括可编程阵列逻辑(PAL)、可编程逻辑阵列(PLA)、现场可编程逻辑阵列(FPLA)、电可编程逻辑器件(EPLD),电可擦除可编程逻辑器件(EEPLD)、逻辑单元阵列(LCA)、现场可编程门阵列(FPGA)、专用标准产品(ASSP)、专用集成电路(ASIC)、等等。
这里所述的可编程逻辑器件可以是数据处理系统的一部分,其包括一个或更多下列元件:处理器、存储器、I/O电路、外围设备。数据处理系统可在更广泛的应用中使用,如计算机网络化、数据网络化、仪器、视频处理、数字信号处理、或其中希望使用可编程或可再编程逻辑优点的任何合适的其他应用。可编程逻辑器件可用于执行多种不同逻辑功能。例如,可编程逻辑器件可配置为处理器或控制器,其与系统处理器配合工作。可编程逻辑器件也可用作判决对数据处理系统中共享资源访问的判决器(arbiter)。在又一个例子中,可编程逻辑器件可配置为处理器和系统中另一个组件之间的接口。在一个实施例中,可编程逻辑器件可以是本申请受让人所拥有的器件族中的一种。
虽然方法操作是以特定顺序说明的,但应该理解其他操作可在所述操作之间执行,所述操作可调节,以便其在稍微不同的时间产生,或所述操作可分布在系统中,这允许处理操作以与处理关联的不同的间隔的产生,只要整体(overlay)操作的处理是以所需方式执行的。
虽然为了理解的清晰性,在一定程度上详细描述了本发明,但显然可在权利要求的保护的范围内做出一定程度的改变和修改。因此,本发命的实施例被当作示例性的而非限制性的,且本发明不限于这里给出的细节,而是可在权利要求及其等同物的范围内做出修改。

Claims (19)

1.一种电路块,其包括:
第一选择器电路,其被联接以接收第一时钟信号和第二时钟信号和使能信号,其中所述选择器电路基于所述使能信号输出所述第一时钟信号或所述第二时钟信号,并且其中所述使能信号是在所述第一选择器电路的选择端子处接收的;
存储元件,其被联接以接收所述第一选择器电路的输出作为时钟输入信号,其中所述存储元件进一步被联接以接收所述使能信号,并且其中所述使能信号在所述存储元件的输入端子处由所述时钟输入信号的活跃时钟边缘设定时钟;
逻辑门,其被联接以接收来自所述存储元件的输出,其中所述逻辑门进一步被联接以接收所述使能信号;以及
第二选择器电路,其被联接以接收来自所述逻辑门的输出,其中所述第二选择器电路进一步被联接以接收所述使能信号,其中所述第二选择器电路选择来自所述逻辑门的所述输出或所述使能信号并且输出所选择的信号作为扫描使能信号。
2.根据权利要求1所述的电路块,其进一步包括:
扫描链,其被联接以接收所述扫描使能信号和来自所述第一选择器电路的所述时钟输入信号。
3.根据权利要求2所述的电路块,其中所述扫描链包括:
多个存储元件,其被联接在一起从而形成多个存储元件级;以及
多个选择器电路,其中所述多个选择器电路中的每个选择器电路都与所述多个存储元件中相应存储元件关联并联接到所述相应存储元件的输入端子,其中所述多个选择器电路中的每个选择器电路基于所述扫描使能信号选择性联接测试矢量或用户输入矢量中的一个到与其关联的存储元件,从而测试逻辑电路。
4.根据权利要求1所述的电路块,其中所述第二选择器电路被联接到可配置存储器位,且其中所述第二选择器电路基于所述可配置存储器位输出所述使能信号或来自所述逻辑门的输出。
5.根据权利要求1所述的电路块,其中所述第一时钟信号是扫描时钟信号,而所述第二时钟信号是捕获时钟信号。
6.根据权利要求1所述的电路块,其被集成到可编程逻辑器件即PLD中。
7.根据权利要求6所述的电路块,其包括:
在所述PLD上的多个互连线。
8.一种集成电路即IC,其包括:
存储元件,其基于使能信号和时钟信号产生设定时钟的输出,其中所述使能信号在所述存储元件的输入端子处由所述时钟信号的活跃时钟边缘设定时钟;
逻辑电路,其被联接以接收所述使能信号和来自所述存储元件的所述设定时钟的输出;以及
第一选择器电路,其输出所述使能信号和来自所述逻辑电路的输出中的一个作为扫描使能信号,所述扫描使能信号被联接到扫描链中的多个选择器电路的使能输入。
9.根据权利要求8所述的IC,其中所述逻辑电路包括OR门。
10.根据权利要求8所述的IC,其中所述第一选择器电路的选择端子被联接到配置随机存取存储器位即CRAM位或配置移位寄存器即CSR中的一个。
11.根据权利要求8所述的IC,其进一步包括:
第二选择器电路,其被联接从而接收第一时钟信号和第二时钟信号,其中所述第二选择器电路基于所述使能信号选择所述第一时钟信号或第二时钟信号中的一个作为在所述存储元件接收的时钟输入信号。
12.根据权利要求11所述的IC,其中所述第一时钟信号是扫描时钟信号,而所述第二时钟信号是捕获时钟信号。
13.根据权利要求8所述的IC,其中所述扫描链被联接从而接收测试矢量。
14.一种测试电路的方法,其包括:
接收第一时钟信号和第二时钟信号;
基于第一使能信号选择所述第一时钟信号或所述第二时钟信号作为时钟输入信号;
以所述时钟输入信号作为所述第一使能信号的时钟,从而产生第二使能信号,其中所述第一使能信号在存储元件的输入端子处由所述时钟输入信号的活跃时钟边缘设定时钟,并且其中当所述第一使能信号处于第二逻辑电平且所述时钟输入信号从所述第二逻辑电平过渡到第一逻辑电平后,所述第二使能信号从所述第一逻辑电平过渡到所述第二逻辑电平;以及
选择所述第一使能信号或所述第二使能信号作为所述电路中的扫描链的扫描使能信号。
15.根据权利要求14所述的方法,其中当所述第一使能信号从所述第二逻辑电平过渡到所述第一逻辑电平时,所述第二使能信号从所述第二逻辑电平过渡到所述第一逻辑电平。
16.根据权利要求14所述的方法,其中选择所述第一使能信号或所述第二使能信号是基于配置随机存取存储器位即CRAM位进行的。
17.根据权利要求14所述的方法,进一步包括:
通过所述扫描链移位测试矢量,其中所述测试矢量的第一过渡捕获来自所述扫描链中的前一寄存器级的值,其中所述测试矢量的第二过渡在后续寄存器级快速捕获来自所述前一寄存器级传播的值。
18.根据权利要求17所述的方法,其中所述第一过渡是加载过渡,而所述第二过渡是捕获过渡。
19.根据权利要求18所述的方法,其中所述第二使能信号在所述捕获过渡之前从所述第一逻辑电平过渡到所述第二逻辑电平。
CN201210063223.3A 2011-03-11 2012-03-12 测试技术和电路的设计 Active CN102680881B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/046,620 US9021323B1 (en) 2011-03-11 2011-03-11 Test techniques and circuitry
US13/046,620 2011-03-11

Publications (2)

Publication Number Publication Date
CN102680881A CN102680881A (zh) 2012-09-19
CN102680881B true CN102680881B (zh) 2017-04-12

Family

ID=46813110

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210063223.3A Active CN102680881B (zh) 2011-03-11 2012-03-12 测试技术和电路的设计

Country Status (2)

Country Link
US (1) US9021323B1 (zh)
CN (1) CN102680881B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6268461B2 (ja) * 2013-03-28 2018-01-31 セイコーエプソン株式会社 半導体装置、物理量センサー、電子機器及び移動体
US9360884B2 (en) * 2013-11-08 2016-06-07 Altera Corporation Clocking for pipelined routing
US11156664B2 (en) * 2018-10-31 2021-10-26 SK Hynix Inc. Scan chain techniques and method of using scan chain structure
CN109444714B (zh) * 2018-11-23 2022-03-01 中科曙光信息产业成都有限公司 实时扫描测试方法及控制电路
US11714131B1 (en) * 2022-03-21 2023-08-01 Stmicroelectronics International N.V. Circuit and method for scan testing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7058534B1 (en) * 2003-03-19 2006-06-06 Altera Corporation Method and apparatus for application specific test of PLDs
US7231563B2 (en) * 2004-05-26 2007-06-12 Lsi Corporation Method and apparatus for high speed testing of latch based random access memory
CN101371153A (zh) * 2006-01-06 2009-02-18 Nxp股份有限公司 Ic测试方法和装置
CN101371151A (zh) * 2005-12-19 2009-02-18 德州仪器公司 减少的插脚计数扫描链实施方案

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080126898A1 (en) * 2006-11-27 2008-05-29 Kamlesh Pandey System and method for generating on-chip individual clock domain based scan enable signal used for launch of last shift type of at-speed scan testing
JP5181499B2 (ja) * 2007-03-07 2013-04-10 株式会社リコー Scanテスト回路及び半導体集積回路
US8479068B2 (en) * 2010-05-10 2013-07-02 Texas Instruments Incorporated Decoded register outputs enabling test clock to selected asynchronous domains

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7058534B1 (en) * 2003-03-19 2006-06-06 Altera Corporation Method and apparatus for application specific test of PLDs
US7231563B2 (en) * 2004-05-26 2007-06-12 Lsi Corporation Method and apparatus for high speed testing of latch based random access memory
CN101371151A (zh) * 2005-12-19 2009-02-18 德州仪器公司 减少的插脚计数扫描链实施方案
CN101371153A (zh) * 2006-01-06 2009-02-18 Nxp股份有限公司 Ic测试方法和装置

Also Published As

Publication number Publication date
CN102680881A (zh) 2012-09-19
US9021323B1 (en) 2015-04-28

Similar Documents

Publication Publication Date Title
CN102680881B (zh) 测试技术和电路的设计
CN100587508C (zh) 实现高速测试电路的扫描链和方法
US8499209B2 (en) At-speed scan testing with controlled switching activity
US9678152B2 (en) Scan chain latch design that improves testability of integrated circuits
CN105445653B (zh) 具有低功耗扫描触发器的集成电路
JP6544772B2 (ja) スキャンテスト用のテストモード制御信号を生成可能な集積回路
US8671320B2 (en) Integrated circuit comprising scan test circuitry with controllable number of capture pulses
EP2041584B1 (en) High-speed signal testing system having oscilloscope functionality
CN106556792A (zh) 能够进行安全扫描的集成电路
CN103839590B (zh) 存储器时序参数的测量装置、方法及存储器芯片
WO2007013306A1 (ja) 半導体論理回路装置のテストベクトル生成方法及びテストベクトル生成プログラム
EP1480048A1 (en) Automatic test pattern generation
CN102279357B (zh) 一种基于边界扫描技术的分解式电路互连测试方法
US20150066409A1 (en) Real-time Oscilloscope For Generating a Fast Real-time Eye Diagram
CN107305237A (zh) 双向扫描链结构及其制造方法
CN110007217A (zh) 一种低功耗边界扫描测试方法
CN104903736B (zh) 用于动态分配扫描测试资源的电路和方法
US20060156132A1 (en) Semiconductor device with built-in scan test circuit
CN114280454A (zh) 芯片测试方法、装置、芯片测试机及存储介质
CN110514981A (zh) 集成电路的时钟控制方法、装置及集成电路
CN106019119A (zh) 半导体集成电路的试验电路及使用其的试验方法
US6073261A (en) Circuit for evaluating signal timing
US20060041806A1 (en) Testing method for semiconductor device and testing circuit for semiconductor device
US7222277B2 (en) Test output compaction using response shaper
JP4773791B2 (ja) 半導体記憶装置、およびメモリテスト回路

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant