CN102055411A - 基于多通道反馈的功率放大器线性化校正电路及方法 - Google Patents

基于多通道反馈的功率放大器线性化校正电路及方法 Download PDF

Info

Publication number
CN102055411A
CN102055411A CN 201010600008 CN201010600008A CN102055411A CN 102055411 A CN102055411 A CN 102055411A CN 201010600008 CN201010600008 CN 201010600008 CN 201010600008 A CN201010600008 A CN 201010600008A CN 102055411 A CN102055411 A CN 102055411A
Authority
CN
China
Prior art keywords
signal
frequency
feedback
feedback signal
predistortion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 201010600008
Other languages
English (en)
Other versions
CN102055411B (zh
Inventor
周良臣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Chengdu Kaitengsifang Sifang Digital Broadcast & Television Equipment Co Ltd
Original Assignee
Chengdu Kaitengsifang Sifang Digital Broadcast & Television Equipment Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chengdu Kaitengsifang Sifang Digital Broadcast & Television Equipment Co Ltd filed Critical Chengdu Kaitengsifang Sifang Digital Broadcast & Television Equipment Co Ltd
Priority to CN 201010600008 priority Critical patent/CN102055411B/zh
Publication of CN102055411A publication Critical patent/CN102055411A/zh
Application granted granted Critical
Publication of CN102055411B publication Critical patent/CN102055411B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Amplifiers (AREA)

Abstract

本发明公开了一种基于多通道反馈的功率放大器线性化校正电路及方法,涉及通信技术领域线性化技术,旨在提供一种能够跟踪射频功率放大器非线性特性,自适应调整系统预失真参数的功率放大器线性化校正电路及方法。本发明的技术要点如下:利用多路反馈通道将功率放大器输出的信号进行频谱分割并耦合进入反馈系统,数据恢复电路将多路反馈信息恢复成一路信号。预失真训练器利用基带信号与恢复后的反馈信号计算预失真参数,预失真处理器A根据预失真参数将与功率放大器非线性失真信号互补的预失真信号加入基带信号,基带信号中的预失真信号在功率放大器中被抵消掉,从而实现了功率放大器线性化校正。本发明主要用于射频信号发射系统非线性失真校正。

Description

基于多通道反馈的功率放大器线性化校正电路及方法
技术领域
本发明涉及通信技术领域线性化技术,尤其涉及射频无线通信发射机所用的功率放大器线性化技术。
背景技术
功率放大器(以下简称为:功放)是通信系统中不可缺少的部件,其输入和输出之间不可避免地存在非线性。早期的无线通信都是基于对功率放大非线性不敏感的恒包络调制技术,可使功率放大器工作在具有较高效率的临近饱和区。然而恒定包络调制频带利用率低的缺点严重制约了无线通信高速数据传输的发展。各种无线通信系统为了提高系统容量,充分利用有限的频谱资源,采用频谱利用率高的调制方式,而高频谱利用率的调制方式如正交振幅调制QAM、正交频分复用OFDM的包络存在较大的波动,这些非恒定包络调制信号对功率放大器的非线性十分敏感。在大信号下出现的非线性会产生严重的带外频谱再生和带内失真,影响了通信的质量,造成邻道干扰。
功率回退技术是最早用于处理恒定包络调制信号非线性失真的技术,其实质是将功放的工作点回退若干分贝。但这会导致功放效率大大降低,甚至90%的功率都将转化成热功耗。因此,目前避免功率放大器非线性的主要办法是采用线性化技术。
预失真技术是目前最有前景的一种线性化方法,其基本原理是在信号进入功率放大器前先进行非线性变换,这种变换特性与功率放大器的非线性特性互补,从而使得功放输出信号呈现为调制信号的线性放大,避免信号的带内畸变和带外频谱再生。
预失真技术包括射频预失真、中频预失真和基带预失真3种方法。射频预失真使用射频非线性器件进行校正,对其控制和调整较困难,频谱再生分量改善较少,高阶频谱分量抵消困难,线性指标低;中频预失真的核心部分采用数字部件进行非线性和自适应控制,而采用模拟电路在中频部分实现预失真;基带预失真在基带处理,相对于中频系统,硬件电路简单且便于数字信号处理算法实现,是目前广泛使用的预失真技术。
由于功率放大器的特性会随着时间、温度、环境以及信号本身的变化而变化,所以,常常采用自适应功率放大器线性化技术,以跟踪功率放大器非线性特性的变化,这方面的专利很多,如01142215.7、200610107728.x和200810066381等中国发明专利。
现有的自适应功率放大器线性化技术如图1所示,基带自适应功放校正系统通过耦合器引回部分功放输出信号,经由反馈通道进行下变频、模数转换,利用得到的基带数据,以预失真器输出作为参考,自适应地更新预失真器。
在基带预失真系统中,为了提取功放的非线性特性,对反馈通道有两方面的重要要求。
首先,具有远高于信号带宽的接收带宽。根据校正效果的要求,五阶、甚至七阶或更高阶以内的非线性项才能提供满意的功放非线性特性。这就意味着接收带宽需要五倍、七倍或者更多倍的信号带宽。可见,对于大带宽信号,反馈通道将具有非常大的处理频带。例如,对于一个典型的20MHz的宽带输入信号,如果想分析其5阶失真以上的非线性特性,那么反馈信号的带宽在100MHz以上。随着移动通信尤其高清视频业务的发展,传输带宽本身就可能要求上百兆,相应地,其反馈信号带宽则需500MHz甚至更高。
另外,反馈通道需具有理想的线性特性,以避免对功放非线性特性的污染。否则,将影响功放非线性特性的提取,最终影响校正效果。
宽频带与高线性这两点要求决定了大带宽信号发射机校正系统的反馈设计具有如下难点:
a)宽频带范围内的高线性射频通道难以实现;
b)难以直接对几十兆、上百兆甚至更高的宽频带信号进行高精度采样。尽管正向的发射通道也有宽频带与高线性的类似要求,但射频发射通道非线性可以通过功放校正的类似方法进行校正,如01142215.7、200920078448.x等发明专利,并且,相对于反馈通道的高速高精度AD而言,发射通道的数模转换更容易实现。所以,反馈设计是大带宽发射机基带预失真功放线性化系统中需要重点解决的问题。随着传输带宽要求越来越宽,这个问题将日益凸现。
为抑制反馈通道的非线性,专利200710073678提出:单独发送信号提取反馈通道的非线性特性,在预失真处理单元中扣除反馈通道的非线性。此方法存在误差累积效应,即反馈通道的校正误差会累积到对功放的校正误差中去。对于先校正发射通道,再校正功放的预失真线性化系统,如200920078448.x,这种累积效果更明显,因为反馈通道的校正误差不仅会在功放校正中累积,还会在发射通道的校正中得到累积,总之,反馈通道使用一次,就会累积一次。除了误差累积效应外,这种方法没有关注宽频带信号的AD采集问题。
综上,在发射机基带预失真功放线性化方法或系统中,尚未见着力解决宽频带反馈通道的高线性设计及其高速高精度采集问题的相关专利或文献。
发明内容
针对现有基带预失真功放线性化技术未着力解决大带宽信号发射情况下反馈通道的线性要求和高速采集问题,本发明旨在提供一种基于多通道反馈的大带宽发射机基带预失真功放线性化校正电路及方法,以解决大带宽发射机功放自适应基带预失真系统中反馈通道的宽频带与高线性二者难以同时满足以及宽频带反馈信号难以采集的问题,实现大带宽信号发射机功放的基带预失真线性化校正,从而适应通信宽带化与移动化的发展趋势,提高发射机的效率。
为实现上述目的,在本发明中采取了以下技术手段:
基于多通道反馈的功放线性化校正电路包含射频发射电路、预失真处理器A、预失真训练器、反馈通道、模数转换器ADC,数据恢复电路。
所述反馈通道有编号为1到K的K个反馈通道,K不小于2;各反馈通道的输入端通过耦合器与功率放大器PA输出端连接,K个反馈通道的输出端各接有一个模数转换器ADC;各模数转换器ADC的输出端与数据恢复电路的输入端连接;数据恢复电路输出端与预失真训练器的反馈信号输入端连接;预失真训练器的预失真参数输出端与预失真处理器A的预失真参数输入端连接;预失真训练器的通道信号输入端与预失真处理器A输出端相连;所述预失真处理器A通道信号输入端接收待发基带信号,其输出端还与射频发射电路输入端连接。
所述反馈通道个数K=射频发射电路中功率放大器理想输出信号的带宽×非线性阶数÷(单个反馈通道带宽-交叠部分带宽);各反馈通道具有相同的信道带宽,且具有不同的通带频率范围,编号相邻的反馈通道的频率范围相互重叠;各反馈通道具有相同的采样率。
所述数据恢复电路包含K个数字变频电路、K个数字傅里叶变换DFT模块、反馈信号频谱形成电路与数字傅里叶逆变换IDFT模块;K个数字变频电路的输入端分别与K个反馈通道的输出端相连;
K个数字变频电路输出端分别与K个数字傅里叶变换DFT模块输入端连接;K个数字傅里叶变换DFT模块的输出端与反馈信号频谱形成电路输入端连接,反馈信号频谱形成电路输出端与数字傅里叶逆变换IDFT模块的输入端连接;所述数字傅里叶逆变换IDFT模块输出端与预失真训练器的反馈信号输入端连接。
所述预失真训练器包含缓存器、训练运算器、数据同步器与预失真处理器B。
所述缓存器的输入端一接收基带信号,输入端二与预失真处理器A的输出端连接,缓存器用于暂存原始基带信号及经过失真处理器A处理后的基带信号,缓存器的输出端与数据同步器的输入端一连接。
训练运算器的输入端一与数据恢复电路的输出端相连,训练运算器的输入端二与数据同步器的输出端连接,训练运算器的输入端三与预失真处理器B的输出端连接;训练运算器的同步启动信号输出端与数据同步器的控制信号输入端连接,用于向数据同步器发出同步启动信号;训练运算器的预失真参数输出端与预失真处理器A预失真参数输入端连接,同时还与预失真处理器B预失真参数输入端连接,用于设置预失真处理器A、预失真处理器B的信号失真处理参数。
所述数据同步器的反馈信号输入端与数据恢复电路的输出端连接。
所述预失真处理器B的电路结构与预失真处理器A相同,信号预失真处理方法也完全一样,其反馈信号输入端与数据恢复电路的输出端连接。
一种基于多通道反馈的功放线性化校正方法,其特征在于,包括预失真器A、B参数的计算以及发射过程中的校正效果监测两大步骤:
首先,计算预失真器A、B的预失真参数:
a.将预失真处理器A短路,原基带信号x(n)一路送入缓存器,另一路x(n)经过射频发射电路转换为射频信号,功率放大器PA输出的射频信号通过耦合器传输到K个反馈通道,反馈信号通过反馈通道接收后形成K路具有相同中频的反馈信号y1(t)、y2(t)、…yK(t);
b.然后再将y1(t)、y2(t)、…yK(t)分别通过模数转化电路ADC转化为数字信号y1(n)、y2(n)、…yK(n);
c.K个模数转换电路ADC输出的反馈信号y1(n)、y2(n)、…yK(n)进入数据恢复电路中相对应的数字变频电路,数字变频电路将反馈信号下变频至基频;
d.K个DFT模块分别取各路变至基频的反馈信号当前时刻以后的L点进行傅里叶变换,形成K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK);
反馈信号频谱形成电路对K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK)进行辐相一致性的校正,生成Y1(l′1)、
Figure BDA0000039900650000051
之后,再将各路反馈信号频谱合并形成总的基带反馈信号的带内频谱
Figure BDA0000039900650000052
Y(m)对应的频率范围为[fc,1-Bw-fc,fc,K+Bw-fc],fc为原反馈信号的载频,fc,1与fc,K分别是第一通道和第K通道的中心频率,2Bw为各通道的通道带宽。利用总的基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT恢复出反馈信号y(n);
e.反馈信号y(n)被送入预失真训练器,在预失真训练器中,缓存器缓存待传基带信号x(n),并对数据同步器输出带有一定时延的x(n-n′0)。然后,数据同步器对x(n-n′0)与y(n)进行互相关运算,求出系统时延n0,将g(n)=x(n-n0)输出给训练运算器;训练运算器根据数据同步器输出信号g(n)与反馈信号y(n)利用递归最小二乘算法RLS算法计算出信号失真处理参数
Figure BDA0000039900650000053
并将信号失真处理参数传送至预失真处理器A与预失真处理器B;
然后,进行发射过程中的校正效果监测:
f.将预失真处理器A接入电路中,预失真处理器A利用信号失真处理参数
Figure BDA0000039900650000055
将与功放非线性特性互补的非线性失真加入待发基带信号中,形成预先混入非线性失真的基带信号f(n);一路信号f(n)进入预失真训练器中的缓存器,另一路信号f(n)经过数模转换电路DAC、射频发射通道后由功率放大器PA放大输出;
g.功率放大器PA输出的射频信号通过耦合器传输到K个反馈通道形成K路具有相同中频的反馈信号y1(t)、y2(t)、…yK(t);然后再将y1(t)、y2(t)、…yK(t)分别通过模数转化电路ADC转化为数字信号y1(n)、y2(n)、…yK(n);
h.K个模数转换电路ADC输出的反馈信号y1(n)、y2(n)、…yK(n)进入数据恢复电路中相对应的数字变频电路,数字变频电路将反馈信号下变频至基频;
i.K个DFT模块分别取各路变至基频的反馈信号当前时刻以后的L点进行傅里叶变换,形成K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK);
反馈信号频谱形成电路对K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK)进行辐相一致性的校正,生成Y1(l′1)、
Figure BDA0000039900650000061
之后,再将各路反馈信号频谱合并形成总的基带反馈信号的带内频谱
Figure BDA0000039900650000062
Y(m)对应的频率范围为[fc,1-Bw-fc,fc,K+Bw-fc],fc为原反馈信号的载频,fc,1与fc,K分别是第一通道和第K通道的中心频率,2Bw为各通道的通道带宽。利用总的基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT恢复出反馈信号y(n);
j.反馈信号y(n)被送入预失真训练器中的预失真处理器B;预失真处理器B利用信号失真处理参数
Figure BDA0000039900650000063
将非线性失真加入到反馈信号y(n)得到信号
Figure BDA0000039900650000064
k.预失真训练器计算
Figure BDA0000039900650000065
若J值大于设定的门限值,则回到步骤a。式中g(i)为缓存器输出信号,且g(i)=f(i-n0),n0为步骤e中的系统时延,
Figure BDA0000039900650000066
为预失真处理器B输出信号,J的门限值以及N0的取值均可根据实验测试预先设定;
l.若J值不大于设定的门限值,则回到i。
本发明具有以下优点:
a.采用多反馈通道与数据恢复技术实现了对反馈信号进行频带分割,解决了宽频带信号难以采集以及宽频带反馈通道难以做到高线性的问题。
b.在发射机工作过程中可根据校正效果,重新计算系统时延及预失真处理参数,所以,不仅可对功放非线特性的变化进行自适应,还可对系统时延的变化进行自适应。
附图说明
图1为现有技术功率放大器基带预失真自适应线性化校正电路原理图。
图2为本发明电路原理图。
图3本发明多路反馈通道频域堆积示意图。
图4为本发明数据恢复电路结构示意图。
图5为本发明反馈信号频谱形成过程示意图。
图6为本发明功率放大器预失真器内部结构框图。
图7为本发明实施例中发射机输出射频信号与未预失真处理、理想输出射频信号功率谱图对比情况。
图8为图7中理想信号功率谱再现。
具体实施方式
下面根据附图对本发明作进一步的说明。
如图2所示,功放输出信号通过耦合器,进入K通道反馈系统,其中K不小于2。K通道反馈系统完成各通道数据的采集、信号的下变频最后利用模数转换电路ADC将信号转换为数字信号y1(n)、y2(n)、...yK(n)。数据恢复器接收来自反馈系统的各通道输出数据y1(n)、y2(n)、...yK(n),恢复出与功放输出信号具有相同频域结构的基带数据块y(n)。在功放预失真器中,利用y(n)、x(n)与预失真处理器A的输出信号f(n),更新预失真处理器A的参数。在计算预失真处理器A、B的参数的过程中,由于y(n)与x(n)之间存在系统时延,在预失真训练器中进行系统时延提取和数据同步,这个系统时延可在随后的校正效果监测过程中直接使用。
本发明主要内容包括多通道反馈技术、数据恢复技术以及数据同步技术,以这些技术为基础,进而提供了一种大带宽信号发射机的基带预失真功放线性化校正的通用方法或系统架构。接下来,参照图2,对多通道反馈系统、数据恢复以及功放预失真器分别进行详细说明。
1)多通道反馈系统
多通道反馈系统结构框图如图2所示。反馈通道有编号为1到K的K个反馈通道,K不小于2,各反馈通道具有相同的信道带宽,且具有不同的通带频率范围,编号相邻的反馈通道的通带频率范围相互重叠,所述通道数K的取值由功率放大器输出信号的带宽、需要处理非线性阶数、单个反馈通道带宽及各个反馈通道之间的交叠部分决定的,举例说明:若功率放大器输出信号的带宽为10MHz,需要处理的非线性阶数为7阶,那么反馈信号带宽为功率放大器理想输出信号的带宽×非线性阶数=70MHz,如果各个反馈通道的带宽取10MHz,相邻编号的反馈通道交叠部分带宽为5MHz,那么K=反馈信号带宽÷(单个反馈通道带宽-交叠部分带宽)=70÷(10-5)=14,K个反馈通道的频率总和决定了系统能够处理的功放非线性阶数;各通道的频域堆集如图3所示;推荐任意反馈通道的通带频率范围的中心频率与其后一编号反馈通道的通带频率范围的中心频率之差的绝对值等于各反馈通道带宽的一半。
K通道反馈系统将反馈信号在频带上进行分割,并在各通道中下变频到中频。频带分割使得各个通道具有相对较窄的带宽,因而,各射频通道易于具有较高的线性。同时,也有利于各通道信号的AD转换。
与普通的射频反馈通道类似,各反馈通道设计具有线性的要求,且各反馈通道具有相同的采样率,通道增益为一常数。对于各反馈通道输出信号之间的辐相一致性,将会在随后的数据恢复中得到校正。所以,多通道反馈系统设计时,各射频反馈通道间只需尽量保持辐相一致性即可。
K路反馈信号同时在反馈通道中完成模数转换ADC,再输出至数据恢复电路。
2)数据恢复电路
数据恢复电路的结构框图如图4所示。
K路经过反馈模数转换电路ADC输出的反馈信号y1(n)、y2(n)、…yK(n)进入数据恢复电路中相对应的数字变频电路,数字变频电路将反馈信号数字下变频至基频;K路变至基频的反馈信号分别取当前时刻以后的L点进行傅里叶变换,形成K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK);L取值原则为:数据恢复电路生成的y(n)数据块的长度可以保证训练运算器训练过程的收敛,可通过仿真或实验得到。
反馈信号频谱形成电路对K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK)进行辐相一致性的校正,生成Y1(l′1)、
Figure BDA0000039900650000081
之后,再将各路反馈信号频谱合并形成总的反馈信号频谱
Figure BDA0000039900650000083
总的反馈信号频谱Y(m)通过数字傅里叶逆变换IDFT恢复出反馈信号y(n)。
接下来结合图5说明反馈信号频谱形成的处理过程。
令第k反馈通道频谱为YK(lK),
Figure BDA0000039900650000084
Figure BDA0000039900650000085
为对应于频率[fc,k-Bw,fc,k+Bw]上的频率采样序号集合,频率分辨率为δf。fc,k为各通道中心频率,其中1≤k≤K,相邻通道的中心频率间隔为Bw且Bwf为整数;以保证相邻通道频谱交叠部分的频谱采样点在频率轴上对应相同的频率刻度。
将序列Yk(lk)分成分别对应于频率范围[fc,k-Bw,fc,k]的频谱序列
Figure BDA0000039900650000091
和对应于频率范围[fc,k,fc,k+Bw]的频谱序列
Figure BDA0000039900650000092
的两部分。显然,不难将频谱序列
Figure BDA0000039900650000093
与频谱序列
Figure BDA0000039900650000094
设计成为具有相同点数,并令其自变量序号集合为Ωl,Ωl为自然数集合。
以下则为以第1通道为参照,阐述对第2~K通道进行幅相校正并形成反馈信号频谱的过程。
通过以下两式求得幅度补偿因子
Figure BDA0000039900650000095
和相位补偿因子
Figure BDA0000039900650000096
A ^ k + 1 = Σ l ∈ Ω l | Y ~ k + ( l ) | Σ l ∈ Ω l | Y ~ k + 1 - ( l ) |
Figure BDA0000039900650000098
为使得取最大值时l0,k+1的取值,l0,k+1的搜索范围由相邻通道相位不一致性带来的相差值以及校正精度决定。具体设定时,可通过实验得;若运算能力允许,也可在大范围内小步长变化l0,k+1
用幅度补偿因子
Figure BDA00000399006500000910
和相位补偿因子
Figure BDA00000399006500000911
去修正频谱序列
Figure BDA00000399006500000912
的幅值与相位得即:
Figure BDA00000399006500000915
Figure BDA00000399006500000916
为频率[fc,k+1,fc,k+1+Bw]上的频率采样点集合,频率分辨率为δf
再以
Figure BDA00000399006500000917
去更新
Figure BDA00000399006500000918
即令
Figure BDA00000399006500000919
随后,用
Figure BDA00000399006500000920
与第k+2通道对应频率范围为[fc,k+1,fc,k+1+Bw]的频谱序列
Figure BDA00000399006500000921
计算出幅度补偿因子
Figure BDA00000399006500000922
和相位补偿因子
Figure BDA00000399006500000923
并以此修正
Figure BDA00000399006500000924
得到
Figure BDA00000399006500000925
以此类推,直到生成序列
Figure BDA00000399006500000926
最后通过合并,得到原始反馈信号下变频到基带后的带内频谱采样序列
Figure BDA00000399006500000927
Y(m)对应的频率范围为[fc,1-Bw-fc,fc,K+Bw-fc],fc为原反馈信号的载频。频谱形成后,利用基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT得到反馈信号y(n)。
3)功放预失真器
如图6所示,功放预失真器主要包括预失真处理器A与预失真训练器B,而预失真训练器进一步可分为缓存器、数据同步器、训练运算器以及预失真处理器B。预失真处理器A与预失真处理器B电路结构完全一样,并同时接受训练运算器输出的相同的信号失真处理参数。预失真处理器A或预失真处理器B的结构或处理由采用的功率放大器预失真模型决定,现以记忆多项式模型进行阐述。
预失真处理器A与预失真处理器B:
预失真处理器A的输入为x(n),输出为f(n);预失真处理器B的输入为y(n)、输出为
Figure BDA0000039900650000101
二者接受来自训练运算器的相同调节,内部处理也完全一样。
其中预失真处理器A中的处理即是完成公式
f ( n ) = Σ b = 1 B Σ q = 0 Q a b , q x ( n - q ) | x ( n - q ) | 2 ( b - 1 ) ,
式中B为多项式阶数,Q为记忆深度,各乘积项的系数
Figure BDA0000039900650000103
在训练运算器的控制下更新。
预失真处理器B具有与预失真处理器A完全相同的处理方式,即完成公式
f ^ ( n ) = Σ b = 1 B Σ q = 0 Q a b , q y ( n - q ) | y ( n - q ) | 2 ( b - 1 ) ,
式中B为多项式阶数,Q为记忆深度,各乘积项的系数
Figure BDA0000039900650000105
在训练运算器的控制下更新。
数据同步器与缓存器:
由于存在系统时延,图6中的信号y(n)与x(n)之间以及信号
Figure BDA0000039900650000106
与f(n)之间存在时延,缓存器与数据同步器的功能即是为了实现在失真预处理器初始化阶段完成x(n)对y(n)的同步,以及在发射过程中f(n)对
Figure BDA0000039900650000107
的同步。
具体来说:系统计算预失真处理器A、B的参数时,缓存器缓存待传基带信号x(n),并对数据同步器输出带有一定时延的x(n-n′0)。然后,数据同步器对x(n-n′0)与y(n)进行互相关运算,求出系统时延n0,将g(n)=x(n-n0)输出给训练运算器。
在发射机工作过程中缓存器缓存预失真处理器A的输出信号f(n),并对数据同步器输出带有一定时延的f(n-n′0)。数据同步器利用在计算预失真处理器A、B的参数步骤中求出的系统时延n0调整f(n-n′0)的相位,将g(n)=f(n-n0)输出给训练运算器。
训练运算器:
训练运算器的输入信号为数据恢复电路输出信号y(n)、数据同步器输出信号g(n)和预失真处理器B输出信号
Figure BDA0000039900650000111
输出为预失真处理器A与预失真处理器B的信号失真处理参数
Figure BDA0000039900650000112
以及同步启动信号。训练运算器有两个功能:①计算预失真处理器的信号失真处理参数
Figure BDA0000039900650000113
②在发射机工作过程中,判断是否需要重新计算预失真处理器A、B的参数
Figure BDA0000039900650000114
和系统时延n0
计算信号失真处理参数
Figure BDA0000039900650000115
的具体过程是:训练运算器以y(n)作为输入信号,以g(n)=x(n-n0)作为参考信号,训练运算器采用递归最小二乘RLS算法计算信号失真处理参数具体过程为求解方程组
Figure BDA0000039900650000117
nb<n≤nb+Nitr,nb为计算开始时刻,Nitr为迭代次数,Nitr可根据实验预先设定。
式中,为待求的信号失真处理参数,
z → n = ( y ( n ) , y ( n ) | y ( n ) | 2 , . . . y ( n ) | y ( n ) | 2 ( K - 1 ) ,
y ( n - q ) , y ( n - q ) | y ( n - q ) | 2 , . . . , y ( n - q ) | y ( n - q ) | 2 ( k - 1 ) , .
y ( n - Q ) , y ( n - Q ) | y ( n - Q ) | 2 , . . . , y ( n - Q ) | y ( n - Q ) | 2 ( K - 1 ) ) T
在发射机工作过程中,判断是否需要重新计算预失真处理器A与预失真处理器B的具体过程是:训练运算器计算
Figure BDA00000399006500001112
若J值大于设定的门限值,则预失真训练器向数据同步器发出同步启动信号,重新计算预失真处理器A、B的参数
Figure BDA00000399006500001113
和系统时延n0
接下来结合实施例阐述本发明的工作过程。需要指出的是,本发明提供了一种基于多通道反馈的基带预失真电路和方法,对功率放大器预失真器采用的具体模型本没有限制。但为了阐述方便,采用了记忆多项式模型。
输入信号3GPP双通道WCDMA源信号,10MHz的信道带宽,调制方式为QPSK调制。成形滤波器是成形因子0.22的根升余弦滤波器,峰均比为9.85dB。
Figure BDA0000039900650000121
中,设置B=4,Q=3,即最高非线性项为7阶,最大延时为3。设定各反馈通道带宽10MHz,相邻通道交叠为5MHz,由公式:反馈通道个数K=射频发射电路中功率放大器理想输出信号的带宽×非线性阶数÷(单个反馈通道带宽-交叠部分带宽)求得K=14路,即本事实例需要采用14通道反馈系统接收反馈信号。
a.参照图2,将预失真处理器A短路,原基带信号x(n)一路送入缓存器与训练运算器,另一路x(n)经过射频发射电路转换为射频信号,功率放大器PA输出的射频信号耦合至反馈通道形成14路下变频至相同中频的反馈信号y1(t)、y2(t)、…y14(t);
b.然后再将y1(t)、y2(t)、…y14(t)分别通过模数转化电路ADC转化为数字信号y1(n)、y2(n)、…y14(n);
c.参照图4,14个模数转换电路ADC输出的反馈信号y1(n)、y2(n)、…y14(n)进入数据恢复电路中相对应的数字变频电路,数字变频电路将反馈信号下变频至基频;
d.14个DFT模块分别取各路变至基频的反馈信号当前时刻以后的L点进行傅里叶变换,形成14路反馈信号频谱Y1(l1)、Y2(l2)、…Y14(l14);
反馈信号频谱形成电路对14路反馈信号频谱Y1(l1)、Y2(l2)、…Y14(l14)进行辐相一致性的校正,生成Y1(l′1)、
Figure BDA0000039900650000122
之后,再将各路反馈信号频谱合并形成总的基带反馈信号的带内频谱Y(m)对应的频率范围为[fc,1-Bw-fc,fc,14+Bw-fc],fc为原反馈信号的载频,fc,1与fc,K分别是第一通道和第K通道的中心频率,2Bw为通道带宽。利用总的基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT恢复出反馈信号y(n);
e.参照图6,反馈信号y(n)被送入预失真训练器,在预失真训练器中,缓存器缓存待传基带信号x(n),并对数据同步器输出带有一定时延的x(n-n′0)。然后,数据同步器对x(n-n′0)与y(n)进行互相关运算,求出系统时延n0,将g(n)=x(n-n0)输出给训练运算器;训练运算器根据数据同步器输出信号g(n)与反馈信号y(n)利用递归最小二乘算法RLS算法计算出信号失真处理参数
Figure BDA0000039900650000131
并用信号失真处理参数
Figure BDA0000039900650000132
传送设置预失真处理器A与预失真处理器B。
然后,进行发射过程中的校正效果监测,根据情况调节预失真器A、B的参数。
f.参照图2,将预失真处理器A接入电路中,预失真处理器A利用信号失真处理参数
Figure BDA0000039900650000133
将与信道失真互补的非线性失真加入待发基带信号中,形成预先混入非线性失真的基带信号f(n);一路信号f(n)进入预失真训练器中的缓存器,另一路信号f(n)经过数模转换电路DAC、射频发射通道后由功率放大器PA放大输出;
g.功率放大器PA输出的射频信号通过耦合器传输到14个反馈通道形成14路下变频至相同中频的反馈信号y1(t)、y2(t)、…y14(t);然后再将y1(t)、y2(t)、…y14(t)分别通过模数转化电路ADC转化为数字信号y1(n)、y2(n)、…y14(n);
h.参照图4,14个模数转换电路ADC输出的反馈信号y1(n)、y2(n)、…y14(n)进入数据恢复电路中相对应的数字变频电路,数字变频电路将反馈信号下变频至基频;
i.14个DFT模块分别取各路变至基频的反馈信号当前时刻以后的L点进行傅里叶变换,形成14路反馈信号频谱Y1(l1)、Y2(l2)、…Y14(l14);
反馈信号频谱形成电路对14路反馈信号频谱Y1(l1)、Y2(l2)、…Y14(l14)进行辐相一致性的校正,生成Y1(l′1)、
Figure BDA0000039900650000134
之后,再将各路反馈信号频谱合并形成总的基带反馈信号的带内频谱
Figure BDA0000039900650000135
Y(m)对应的频率范围为[fc,1-Bw-fc,fc,14+Bw-fc],fc为原反馈信号的载频,fc,1与fc,K分别是第一通道和第K通道的中心频率,2Bw为通道带宽。利用总的基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT恢复出反馈信号y(n);
j.反馈信号y(n)被送入预失真训练器中的预失真处理器B;预失真处理器B利用信号失真处理参数
Figure BDA0000039900650000141
将非线性失真加入到反馈信号y(n)得到信号
Figure BDA0000039900650000142
k.预失真训练器计算
Figure BDA0000039900650000143
若J值大于设定的门限值,则预失真训练器向数据同步器发出同步启动信号,回到步骤a。式中g(i)为缓存器输出信号,且g(i)=f(i-n0),n0为步骤e中的系统时延,
Figure BDA0000039900650000144
为预失真处理器B输出信号,J的门限值以及N0的取值均可根据实验测试预先设定;
l.若J值不大于设定的门限值,则回到步骤i。
图7显示了本实施例中未通过预失真处理、通过预失真处理和理想输出信号功率谱对比情况。
曲线1为无预失真处理的功放输出信号功率谱,曲线2为有预失真处理的功放输出信号功率谱,曲线3为理想的功放输出信号功率谱。对比三条曲线可见:没有非线性预失真处理的情况下,有明显的带外频谱再生;通过预失真处理,抑制了带外频谱再生,带肩下降了近20dB,信号功率谱几乎与理想信号功率谱重合。为了清楚显示理想信号功率谱,图8进一步显示了本事实例中理想输出信号功率谱图线,图7、图8中的坐标完全一样。
另外,未经过预失真处理的功放输出信号EVM值为31.5%,本实施例的功放输出信号EVM值为0.22%。根据3GPP25.104规范,采用QPSK调制方式时,EVM应小于17.5%。可见,经过预失真处理后,抑制了信号的带内畸变,信号质量接近理想。
经过预失真处理,抑制了信号通过功放后的带外频谱再生与带内畸变,使得功放输出呈现为待发信号的近乎理想的放大。可见,这种基于多通道反馈的大带宽信号发射机基带预失真功放线性化校正系统或方法是有效的。
本发明并不局限于前述的具体实施方式。本发明扩展到任何在本说明书中披露的新特征或任何新的组合,以及披露的任一新的方法或过程的步骤或任何新的组合。

Claims (7)

1.一种基于多通道反馈的功放线性化校正电路,包含射频发射电路、预失真处理器A、预失真训练器、反馈通道、模数转换器ADC,其特征在于,还包含数据恢复电路;
所述反馈通道包括编号为1到K的K个反馈通道,K大于或等于2;各反馈通道的输入端通过耦合器与功率放大器PA输出端连接,每个反馈通道的输出端各接有一个模数转换器ADC;各模数转换器ADC的输出端与数据恢复电路的输入端连接;数据恢复电路输出端与预失真训练器的反馈信号输入端连接;预失真训练器的预失真参数输出端与预失真处理器A的预失真参数输入端连接;预失真训练器的通道信号输入端与预失真处理器A输出端相连;所述预失真处理器A通道信号输入端接收待发基带信号,其输出端还与射频发射电路输入端连接。
2.根据权利要求1所述的基于多通道反馈的功放线性化校正电路,其特征在于,所述反馈通道个数K满足如下公式:
K=射频发射电路中功率放大器理想输出信号的带宽×非线性阶数÷(单个反馈通道带宽-交叠部分带宽)
各反馈通道具有相同的信道带宽,且具有不同的通带频率范围,编号相邻的反馈通道的通带频率范围相互重叠;各反馈通道具有相同的采样率。
3.根据权利要求1所述的基于多通道反馈的功放线性化校正电路,其特征在于,所述数据恢复电路包含K个数字变频电路、K个数字傅里叶变换DFT模块、反馈信号频谱形成电路与数字傅里叶逆变换IDFT模块;K个数字变频电路的输入端分别与K个反馈通道的输出端相连;
K个数字变频电路输出端分别与K个数字傅里叶变换DFT模块输入端连接;
K个数字傅里叶变换DFT模块的输出端与反馈信号频谱形成电路输入端连接,反馈信号频谱形成电路输出端与数字傅里叶逆变换IDFT模块的输入端连接;
所述数字傅里叶逆变换IDFT模块输出端与预失真训练器的反馈信号输入端连接。
4.根据权利要求1所述的基于多通道反馈的功放线性化校正电路,其特征在于,所述预失真训练器包含缓存器、训练运算器、数据同步器与预失真处理器B;
所述缓存器的输入端一接收基带信号,输入端二与预失真处理器A的输出端连接,缓存器用于暂存原始基带信号及经过失真处理器A处理后的基带信号,缓存器的输出端与数据同步器的输入端一连接;
训练运算器的输入端一与数据恢复电路的输出端相连,训练运算器的输入端二与数据同步器的输出端连接,训练运算器的输入端三与预失真处理器B的输出端连接;训练运算器的同步启动信号输出端与数据同步器的控制信号输入端连接,用于向数据同步器发出同步启动信号;训练运算器的预失真参数输出端与预失真处理器A预失真参数输入端连接,同时还与预失真处理器B预失真参数输入端连接,用于设置预失真处理器A、预失真处理器B的信号失真处理参数;
所述数据同步器的反馈信号输入端与数据恢复电路的输出端连接。
所述预失真处理器B的电路结构与预失真处理器A相同,信号预失真处理方法也完全一样,其反馈信号输入端与数据恢复电路的输出端连接。
5.一种基于多通道反馈的功放线性化校正方法,其特征在于,包括如下步骤:
a.将预失真处理器A短路,原基带信号x(n)一路送入缓存器,另一路x(n)经过射频发射电路转换为射频信号,功率放大器PA输出的射频信号通过耦合器传输到K个反馈通道,反馈信号通过反馈通道接收后形成K路具有相同中频的反馈信号y1(t)、y2(t)、…yK(t);K大于或者等于2;
b.然后再将y1(t)、y2(t)、…yK(t)分别通过模数转化电路ADC转化为数字信号y1(n)、y2(n)、…yK(n);
c.K个模数转换电路ADC输出的反馈信号y1(n)、y2(n)、…yK(n)进入数据恢复电路中相对应的数字变频电路,数字变频电路将反馈信号下变频至基频;
d.K个DFT模块分别取各路变至基频的反馈信号当前时刻以后的L点进行傅里叶变换,形成K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK);
反馈信号频谱形成电路对K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK)进行辐相一致性的校正,生成Y1(l′1)、
Figure FDA0000039900640000021
之后,再将各路反馈信号频谱合并形成总的基带反馈信号的带内频谱Y(m)对应的频率范围为[fc,1-Bw-fc,fc,K+Bw-fc],fc为原反馈信号的载频,fc,1与fc,K分别是第一通道和第K通道的中心频率,2Bw为各通道的通道带宽;利用总的基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT恢复出反馈信号y(n);
e.反馈信号y(n)被送入预失真训练器,在预失真训练器中,缓存器缓存待传基带信号x(n),并对数据同步器输出带有一定时延的x(n-n′0)。然后,数据同步器对x(n-n′0)与y(n)进行互相关运算,求出系统时延n0,将g(n)=x(n-n0)输出给训练运算器;训练运算器根据数据同步器输出信号g(n)与反馈信号y(n)利用递归最小二乘算法RLS算法计算出信号失真处理参数并将信号失真处理参数
Figure FDA0000039900640000032
传送至预失真处理器A与预失真处理器B;
然后,进行发射过程中的校正效果监测:
f.将预失真处理器A接入电路中,预失真处理器A利用信号失真处理参数
Figure FDA0000039900640000033
将与功放非线性特性互补的非线性失真加入待发基带信号中,形成预先混入非线性失真的基带信号f(n);一路信号f(n)进入预失真训练器中的缓存器,另一路信号f(n)经过数模转换电路DAC、射频发射通道后由功率放大器PA放大输出;
g.功率放大器PA输出的射频信号通过耦合器传输到K个反馈通道形成K路相同中频的反馈信号y1(t)、y2(t)、…yK(t);然后再将y1(t)、y2(t)、…yK(t)分别通过模数转化电路ADC转化为数字信号y1(n)、y2(n)、…yK(n);
h.K个模数转换电路ADC输出的反馈信号y1(n)、y2(n)、…yK(n)进入数据恢复电路中相对应的数字变频电路,数字变频电路将反馈信号下变频至基频;
i.K个DFT模块分别取各路变至基频的反馈信号当前时刻以后的L点进行傅里叶变换,形成K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK);
反馈信号频谱形成电路对K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK)进行辐相一致性的校正,生成Y1(l′1)、
Figure FDA0000039900640000034
之后,再将各路反馈信号频谱合并形成总的基带反馈信号的带内频谱
Figure FDA0000039900640000035
Y(m)对应的频率范围为[fc,1-Bw-fc,fc,K+Bw-fc],fc为原反馈信号的载频,fc,1与fc,K分别是第一通道和第K通道的中心频率,2Bw为各通道的通道带宽;利用总的基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT恢复出反馈信号y(n);
j.反馈信号y(n)被送入预失真训练器中的预失真处理器B;预失真处理器B利用信号失真处理参数
Figure FDA0000039900640000036
将非线性失真加入到反馈信号y(n)得到信号
Figure FDA0000039900640000037
k.预失真训练器计算
Figure FDA0000039900640000038
若J值大于设定的门限值,则回到步骤a。式中g(i)为缓存器输出信号,且g(i)=f(i-n0),n0为步骤e中的系统时延,
Figure FDA0000039900640000041
为预失真处理器B输出信号,J的门限值以及N0的取值均可根据实验测试预先设定;
l.若J值不大于设定的门限值,则回到i。
6.根据权利要求5所述的一种基于多通道反馈的功放线性化校正方法,其特征在于,所述步骤a中的多反馈通道为编号为1到K的K个反馈通道,其中
K=功率放大器理想输出信号的带宽×非线性阶数÷(单个反馈通道带宽-交叠部分带宽),
各反馈通道具有相同的信道带宽,且具有不同的通带频率范围,编号相邻的反馈通道的通带频率范围相互重叠,且任意反馈通道通带频率范围的中心频率与其后一编号反馈通道通带频率范围的中心频率之差的绝对值等于各反馈通道带宽的一半;各反馈通道具有相同的采样率。
7.根据权利要求5所述的一种基于多通道反馈的功放线性化校正方法,其特征在于,步骤d中,K路变至基频的反馈信号分别取L点进行傅里叶变换,形成K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK),反馈信号频谱形成电路将K路反馈信号频谱Y1(l1)、Y2(l2)、…YK(lK)的辐值与相位校正至相同的方法如下:
令第k反馈通道频谱为Yk(lk),
Figure FDA0000039900640000042
Figure FDA0000039900640000043
为频率[fc,k-Bw,fc,k+Bw]上的频率采样序号集合,频率采样分辨率为δf。各通道中心频率为fc,k其中1≤k≤K,相邻通道的中心频率间隔为Bw且Bwf为整数;以保证相邻通道频谱交叠的部分在频率轴上对应相同的频率采样点;
将序列Yk(lk)分成分别对应于频率范围[fc,k-Bw,fc,k]的频谱序列
Figure FDA0000039900640000044
和对应于频率范围[fc,k,fc,k+Bw]的频谱序列的两部分。显然,不难将频谱序列
Figure FDA0000039900640000046
与频谱序列
Figure FDA0000039900640000047
设计成为具有相同点数,并令其为自变量序号集合为Ωl,其中Ωl为自然数集合。通过以下两式求得幅度补偿因子
Figure FDA0000039900640000048
和相位补偿因子
Figure FDA0000039900640000049
A ^ k + 1 = Σ l ∈ Ω l | Y ~ k + ( l ) | Σ l ∈ Ω l | Y ~ k + 1 - ( l ) |
Figure FDA00000399006400000411
为使得取最大值时l0,k+1的取值,l0,k+1的搜索范围由相邻通道相位不一致性带来的相差值以及校正精度决定;
用幅度补偿因子
Figure FDA0000039900640000051
和相位补偿因子去修正频谱序列
Figure FDA0000039900640000053
的幅值与相位得
Figure FDA0000039900640000054
即:
Figure FDA0000039900640000055
Figure FDA0000039900640000056
Figure FDA0000039900640000057
为频率[fc,k+1,fc,k+1+Bw]上的频率采样点集合,频率分辨率为δf
再以
Figure FDA0000039900640000058
去更新
Figure FDA0000039900640000059
即令
Figure FDA00000399006400000510
随后,用
Figure FDA00000399006400000511
与第k+2通道对应频率范围为[fc,k+1,fc,k+1+Bw]的频谱序列
Figure FDA00000399006400000512
计算出幅度补偿因子
Figure FDA00000399006400000513
和相位补偿因子
Figure FDA00000399006400000514
并以此修正得到
Figure FDA00000399006400000516
以此类推,直到生成序列最后通过合并,得到原始反馈信号下变频到基带后的带内频谱采样序列
Figure FDA00000399006400000518
Y(m)对应的频率范围为[fc,1-Bw-fc,fc,K+Bw-fc],fc为原反馈信号的载频。频谱形成后,利用基带反馈信号的带内频谱Y(m)通过数字傅里叶逆变换IDFT得到反馈信号y(n)。
CN 201010600008 2010-12-22 2010-12-22 基于多通道反馈的功率放大器线性化校正电路及方法 Active CN102055411B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 201010600008 CN102055411B (zh) 2010-12-22 2010-12-22 基于多通道反馈的功率放大器线性化校正电路及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 201010600008 CN102055411B (zh) 2010-12-22 2010-12-22 基于多通道反馈的功率放大器线性化校正电路及方法

Publications (2)

Publication Number Publication Date
CN102055411A true CN102055411A (zh) 2011-05-11
CN102055411B CN102055411B (zh) 2013-06-05

Family

ID=43959421

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 201010600008 Active CN102055411B (zh) 2010-12-22 2010-12-22 基于多通道反馈的功率放大器线性化校正电路及方法

Country Status (1)

Country Link
CN (1) CN102055411B (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102495912A (zh) * 2011-10-26 2012-06-13 电子科技大学 一种具有同步校正功能的多通道高速数据采集系统
CN102647379A (zh) * 2012-03-31 2012-08-22 中兴通讯股份有限公司 一种鲁棒的预失真参数获取方法及装置
CN102981113A (zh) * 2011-12-26 2013-03-20 中国电子科技集团公司第四十一研究所 一种高精度高线性高温度稳定性的动态校准源系统
CN103441735A (zh) * 2013-05-31 2013-12-11 华为技术有限公司 平衡放大系统和天线系统
CN104618283A (zh) * 2013-11-05 2015-05-13 台扬科技股份有限公司 通信装置及提高数字预失真线性化的方法
CN105264769A (zh) * 2013-06-03 2016-01-20 住友电气工业株式会社 失真补偿装置和无线通信装置
WO2016074218A1 (zh) * 2014-11-14 2016-05-19 华为技术有限公司 一种模拟预失真器核心模块及模拟预失真器系统
CN105765861A (zh) * 2013-11-22 2016-07-13 赛灵思公司 多路径的数字预失真
CN105992324A (zh) * 2015-01-30 2016-10-05 启碁科技股份有限公司 采用多路回馈技术的无线通信装置以及功率控制器
CN108322413A (zh) * 2017-12-29 2018-07-24 中国电子科技集团公司第五十五研究所 一种用于5g毫米波有源相控天线阵列的空口数字预失真实现方法及其数字预失真系统
CN108362939A (zh) * 2018-01-31 2018-08-03 成都泰格微波技术股份有限公司 一种线性调频信号的频域参数测量方法
CN108574497A (zh) * 2017-03-13 2018-09-25 中兴通讯股份有限公司 带有线性化技术的宽带发射方法、装置和系统
WO2018218487A1 (zh) * 2017-05-31 2018-12-06 华为技术有限公司 预失真处理方法和装置
CN110915174A (zh) * 2017-06-26 2020-03-24 华为技术有限公司 校正装置和校正方法
CN111181499A (zh) * 2020-01-17 2020-05-19 南通大学 用于海域接入设备的数字预失真自适应处理方法及系统
CN111988002A (zh) * 2020-08-05 2020-11-24 东南大学 用于mimo功放的数字预失真方法、装置、设备及存储介质
CN112600522A (zh) * 2019-10-02 2021-04-02 亚德诺半导体国际无限责任公司 具有功率特定性捕获选择的数字预失真
CN113300679A (zh) * 2020-02-24 2021-08-24 华为技术有限公司 数字预失真电路、数字预失真系数获取方法及相关装置
WO2022236821A1 (zh) * 2021-05-14 2022-11-17 华为技术有限公司 一种信号处理方法以及相关设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5990734A (en) * 1998-06-19 1999-11-23 Datum Telegraphic Inc. System and methods for stimulating and training a power amplifier during non-transmission events
CN1384602A (zh) * 2001-05-08 2002-12-11 华为技术有限公司 自适应射频数字预失真线性化方法及其电路
CN101483623A (zh) * 2008-12-17 2009-07-15 成都凯腾四方数字广播电视设备有限公司 一种基带自适应数字预失真功放校正方法及系统

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5990734A (en) * 1998-06-19 1999-11-23 Datum Telegraphic Inc. System and methods for stimulating and training a power amplifier during non-transmission events
CN1384602A (zh) * 2001-05-08 2002-12-11 华为技术有限公司 自适应射频数字预失真线性化方法及其电路
CN101483623A (zh) * 2008-12-17 2009-07-15 成都凯腾四方数字广播电视设备有限公司 一种基带自适应数字预失真功放校正方法及系统

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102495912A (zh) * 2011-10-26 2012-06-13 电子科技大学 一种具有同步校正功能的多通道高速数据采集系统
CN102981113A (zh) * 2011-12-26 2013-03-20 中国电子科技集团公司第四十一研究所 一种高精度高线性高温度稳定性的动态校准源系统
CN102981113B (zh) * 2011-12-26 2016-02-17 中国电子科技集团公司第四十一研究所 一种高精度高线性高温度稳定性的动态校准源系统
CN102647379A (zh) * 2012-03-31 2012-08-22 中兴通讯股份有限公司 一种鲁棒的预失真参数获取方法及装置
CN102647379B (zh) * 2012-03-31 2018-01-19 中兴通讯股份有限公司 一种鲁棒的预失真参数获取方法及装置
CN103441735B (zh) * 2013-05-31 2016-08-03 华为技术有限公司 平衡放大系统和天线系统
CN103441735A (zh) * 2013-05-31 2013-12-11 华为技术有限公司 平衡放大系统和天线系统
CN105264769A (zh) * 2013-06-03 2016-01-20 住友电气工业株式会社 失真补偿装置和无线通信装置
CN105264769B (zh) * 2013-06-03 2018-01-09 住友电气工业株式会社 失真补偿装置和无线通信装置
CN104618283A (zh) * 2013-11-05 2015-05-13 台扬科技股份有限公司 通信装置及提高数字预失真线性化的方法
CN104618283B (zh) * 2013-11-05 2019-10-11 台扬科技股份有限公司 通信装置及提高数字预失真线性化的方法
CN105765861A (zh) * 2013-11-22 2016-07-13 赛灵思公司 多路径的数字预失真
CN105765861B (zh) * 2013-11-22 2019-06-18 赛灵思公司 多路径的数字预失真
WO2016074218A1 (zh) * 2014-11-14 2016-05-19 华为技术有限公司 一种模拟预失真器核心模块及模拟预失真器系统
US9893748B2 (en) 2014-11-14 2018-02-13 Huawei Technologies Co., Ltd. Analog predistorter core module and analog predistorter system
CN105992324A (zh) * 2015-01-30 2016-10-05 启碁科技股份有限公司 采用多路回馈技术的无线通信装置以及功率控制器
CN105992324B (zh) * 2015-01-30 2019-09-13 启碁科技股份有限公司 采用多路回馈技术的无线通信装置以及功率控制器
CN108574497A (zh) * 2017-03-13 2018-09-25 中兴通讯股份有限公司 带有线性化技术的宽带发射方法、装置和系统
US10985705B2 (en) 2017-05-31 2021-04-20 Huawei Technologies Co., Ltd. Pre-distortion processing method and apparatus
WO2018218487A1 (zh) * 2017-05-31 2018-12-06 华为技术有限公司 预失真处理方法和装置
CN110915174B (zh) * 2017-06-26 2021-04-09 华为技术有限公司 校正装置和校正方法
CN110915174A (zh) * 2017-06-26 2020-03-24 华为技术有限公司 校正装置和校正方法
US11265061B2 (en) 2017-06-26 2022-03-01 Huawei Technologies Co., Ltd. Correction apparatus and correction method
CN108322413A (zh) * 2017-12-29 2018-07-24 中国电子科技集团公司第五十五研究所 一种用于5g毫米波有源相控天线阵列的空口数字预失真实现方法及其数字预失真系统
CN108322413B (zh) * 2017-12-29 2020-11-17 中国电子科技集团公司第五十五研究所 5g毫米波有源天线阵列的空口数字预失真方法及其系统
CN108362939A (zh) * 2018-01-31 2018-08-03 成都泰格微波技术股份有限公司 一种线性调频信号的频域参数测量方法
CN108362939B (zh) * 2018-01-31 2020-06-23 成都泰格微波技术股份有限公司 一种线性调频信号的频域参数测量方法
CN112600522A (zh) * 2019-10-02 2021-04-02 亚德诺半导体国际无限责任公司 具有功率特定性捕获选择的数字预失真
CN111181499A (zh) * 2020-01-17 2020-05-19 南通大学 用于海域接入设备的数字预失真自适应处理方法及系统
CN113300679A (zh) * 2020-02-24 2021-08-24 华为技术有限公司 数字预失真电路、数字预失真系数获取方法及相关装置
CN113300679B (zh) * 2020-02-24 2023-05-16 华为技术有限公司 数字预失真电路、数字预失真系数获取方法及相关装置
CN111988002A (zh) * 2020-08-05 2020-11-24 东南大学 用于mimo功放的数字预失真方法、装置、设备及存储介质
CN111988002B (zh) * 2020-08-05 2023-09-05 东南大学 用于mimo功放的数字预失真方法、装置、设备及存储介质
WO2022236821A1 (zh) * 2021-05-14 2022-11-17 华为技术有限公司 一种信号处理方法以及相关设备

Also Published As

Publication number Publication date
CN102055411B (zh) 2013-06-05

Similar Documents

Publication Publication Date Title
CN102055411B (zh) 基于多通道反馈的功率放大器线性化校正电路及方法
US9148093B2 (en) Low-cost digital predistortion apparatus and method using envelope detection feedback
CN102893399B (zh) 预失真校正方法、预失真校正装置、发射机及基站
CN103051574A (zh) 数字预失真处理方法及系统
US20120256687A1 (en) Pre-distortion for a Radio Frequency Power Amplifier
CN102413085B (zh) 一种数字预失真方法及装置
CN102195912B (zh) 数字预失真处理设备和方法
CN102075469B (zh) 用于数字预失真系统的信号延迟时间的估计方法
CN102437822A (zh) 一种射频功率放大器自适应数字预失真线性化系统
CN102939716B (zh) 多频带宽带功率放大器数字预失真系统和方法
CN106506417A (zh) 一种窄带反馈的数字预失真系统与方法
CN101483623A (zh) 一种基带自适应数字预失真功放校正方法及系统
CN102231620A (zh) 一种基于基带数字预失真技术的功放线性化方法和装置
CN106170918A (zh) 用以改进数字预失真调适性能的线性损伤建模系统及方法
CN102969987A (zh) 基于欠采样的宽带功放预失真方法
CN101478523A (zh) 一种ofdm系统中的自适应预失真器及预失真方法
CN101335728A (zh) 确定开环预失真参数的方法和装置、发信机和发信方法
CN201409180Y (zh) 一种数字电视发射机自适应基带线性化装置
CN102769589B (zh) 一种提高数字预失真性能的方法和系统
CN112019221B (zh) 一种信号处理方法、装置和存储介质
CN105680919B (zh) 可补偿iq非平衡效应的交叉式mimo系统预失真方法
CN201947373U (zh) 一种高效率数字电视发射装置
CN113132279A (zh) 一种预失真处理方法、装置、设备和存储介质
CN104301269B (zh) 等效采样预失真系统及其方法
CN106712728A (zh) 一种用于卫星通信中的功放预失真补偿的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
PE01 Entry into force of the registration of the contract for pledge of patent right

Denomination of invention: Power amplifier linearization correcting circuit and method based on multi-channel feedback

Effective date of registration: 20160728

Granted publication date: 20130605

Pledgee: Agricultural Bank of China Limited by Share Ltd Chengdu Qingyang branch

Pledgor: Chengdu Kaitengsifang Sifang Digital Broadcast & Television Equipment Co., Ltd.

Registration number: 2016510000037

PLDC Enforcement, change and cancellation of contracts on pledge of patent right or utility model