CN101944907A - 一种毛刺消除可编程计数器 - Google Patents

一种毛刺消除可编程计数器 Download PDF

Info

Publication number
CN101944907A
CN101944907A CN 201010277471 CN201010277471A CN101944907A CN 101944907 A CN101944907 A CN 101944907A CN 201010277471 CN201010277471 CN 201010277471 CN 201010277471 A CN201010277471 A CN 201010277471A CN 101944907 A CN101944907 A CN 101944907A
Authority
CN
China
Prior art keywords
output
programmable
input
circuit
counter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 201010277471
Other languages
English (en)
Other versions
CN101944907B (zh
Inventor
吴建辉
杨世铎
张萌
陈招娣
吉新春
陈超
竺磊
徐毅
徐震
时龙兴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Southeast University
Original Assignee
Southeast University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Southeast University filed Critical Southeast University
Priority to CN2010102774719A priority Critical patent/CN101944907B/zh
Publication of CN101944907A publication Critical patent/CN101944907A/zh
Application granted granted Critical
Publication of CN101944907B publication Critical patent/CN101944907B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)
  • Programmable Controllers (AREA)

Abstract

本发明公开了一种毛刺消除可编程计数器,包括异步计数器主体电路、可编程逻辑电路、复位脉冲产生电路、计数器输出产生电路四部分;其中异步计数器主体电路上设有N位分频输出端(Q0…QN-1)、可编程输入端P以及D触发器;可编程逻辑电路分成可编程部分和非可编程部分,可编程部分采用与非逻辑,非可编程部分采用或非逻辑;复位脉冲产生电路上设有两个输入端R0、S0以及三个输出端;计数器输出产生电路上设有两个输入端R1、S1以及一个输出端。本发明具有设计简单,电路结构新颖,毛刺消除能力强,易于实现等特点。

Description

一种毛刺消除可编程计数器
技术领域
本发明涉及一种毛刺消除可编程计数器,主要应用于射频锁相环中的可编程分频器电路。
背景技术
在射频锁相环电路中,可编程分频器电路是其中的主要模块,为整个环路提供可变的分频比,实现锁相环在不同频率点上的精确锁定。可编程计数器是实现可编程分频器的主要方式。通过输入不同的分频比控制字,可编程分频器输出不同的分频比。
在实际的电路设计中,可编程分频电路中的可编程计数器由数字逻辑实现,可分成计数器主体电路和可编程逻辑电路两部分。计数器主体电路实际上是一个分频电路,通常由多个D触发器级联构成,将分频输出端输入可编程逻辑电路,便可实现可变的计数位数,从而实现不同的分频比。然而,对于多个D触发器级联构成的可编程计数器,由于每个D触发器具有自身的延时,使得后级的分频输出端较前级有一定的滞后。各分频输出端输入后续的可编程逻辑电路时,由于可编程逻辑电路是由逻辑门构成,而逻辑门在多个输入信号中间存在不同时刻的翻转式,会产生竞争-冒险现象,使得输出端得到错误的逻辑,在可编程逻辑电路内部,以毛刺的形式出现。此种毛刺会使得可编程计数器产生误计数,产生错误的分频比,可编程分频电路发生误分频,锁相环锁定在错误的频点上。
为了消除上诉由于组合逻辑电路的竞争-冒险产生的输出毛刺,一般的做法是引入D触发器对可编程逻辑电路的输出进行同步,然而此种方法同样存在误计数的危险,如果毛刺出现在D触发器的时钟上升沿到来时,同样会将毛刺传输至输出端,使计数输出错误。因此,消除毛刺的最彻底方法是通过合理的设计可编程逻辑电路,避免组合逻辑电路内部的竞争-冒险现象,彻底的消除毛刺,才可将可编程计数器的误计数危机消除。
发明内容
发明目的:针对上述现有技术的问题和不足,本发明的目的是提供一种毛刺消除的可编程计数器,在可编程计数器的可编程逻辑电路内部彻底消除毛刺。通过消除可编程逻辑电路内部逻辑门的竞争-冒险现象,彻底的将毛刺消除,避免可编程计数器误计数的产生。
技术方案:为达到上述目的,本发明的技术方案为一种毛刺消除可编程计数器,包括异步计数器主体电路、可编程逻辑电路、复位脉冲产生电路、计数器输出产生电路四部分;其中异步计数器主体电路上设有N位分频输出端(Q0…QN-1)、可编程输入端P以及D触发器;可编程逻辑电路分成可编程部分和非可编程部分,可编程部分采用与非逻辑,非可编程部分采用或非逻辑;复位脉冲产生电路上设有两个输入端R0、S0以及三个输出端;计数器输出产生电路上设有两个输入端R1、S1以及一个输出端;
异步计数器主体电路的N位分频输出端(Q0…QN-1)分别连接可编程逻辑电路的非可编程部分,异步计数器主体电路的可编程输入端P分别连接可编程逻辑电路的可编程部分,可编程部分和非可编程部分的输出端分别连接复位脉冲产生电路的两个输入端R0、S0,复位脉冲产生电路的一个输出端与异步计数器主体电路中所有D触发器的复位端连接,同时复位脉冲产生电路的另外两个输出端分别连接计数器输出产生电路的两个输入端R1、S1。
所述异步计数器主体电路由N位D触发器级联产生,每个D触发器的输入D端和输出Q端连接,构成二分频器,N个D触发器的N位分频输出端(Q0…QN-1)与N位的可编程输入端(P0…PN-1)分别输入可编程逻辑电路(2),异步计数器主体电路中的参考时钟由第一个级联D触发器的D端输入。
所述可编程逻辑电路中N个D触发器的N位分频输出端(Q0…QN-1)与N位的可编程输入端(P0…PN-1)分别输入可编程逻辑电路的可编程部分,可编程部分由N个异或门(X0…XN-1)和N-1个与门(A0…AN-2)构成,N个D触发器的N位分频输出端(Q0…QN-1)与N位的可编程输入端(P0…PN-1)分别输入N个异或门(X0…XN-1),XN-1和XN-2的输出端连接AN-2,AN-2和XN-3的输出端连接AN-3,AN-3和XN-4的输出端连接AN-4…以此类推,A1的和X0的输出端连接A0,A0的输出连接复位脉冲产生电路的S0输入端,N个D触发器的N位分频输出端(Q0…QN-1)分别输入可编程逻辑电路的非可编程部分,非可编程部分由N-1个或门(B0…BN-2)和一个反相器N4构成,QN-1和QN-2连接BN-2,QN-3和BN-2的输出端连接BN-3,QN-4和BN-3的输出端连接BN-4…以此类推,Q0和B1的输出端连接B0,B0的输出端连接反相器N4,N4的输出端连接复位脉冲输出产生电路的R0输入端。
所述复位脉冲产生电路由两个D触发器(D6、D7)和四个反相器(N0,N1,N2,N3)构成,两个D触发器的时钟与异步计数器主体电路的“时钟”信号端连接,可编程逻辑电路的可编程部分连接D6的D输入端,可编程逻辑电路的可编程部分连接D7的D输入端,D6的输出通过两个级联反相器(N0,N1)产生输入与计数器输出产生电路的R端连接,D7的输出通过两个级联反相器(N2,N3)产生输入与计数器输出产生电路的S端连接,“复位”信号,由反相器N0的输出产生,连接异步计数器主体电路中所有D触发器的复位端。
所述计数器输出产生电路由一个RS触发器RS0构成,RS0的R输入端连接复位信号产生电路中N1的输出端,S输入端连接复位信号产生电路中N3的输出端,RS0的Q输出端产生计数结束脉冲“溢出”信号。
有益效果:本发明通过合理的设计可编程逻辑电路,简单的在可编程部分采用与非逻辑,非可编程部分采用或非逻辑,便可彻底的将毛刺消除,消除了潜在的误计数危机。与传统的毛刺消除结构相比,具有设计简单,电路结构新颖,毛刺消除能力强,易于实现等特点。
附图说明
图1为本发明的可编程计数器电路框图;
图2为本发明的N位可编程计数器电路原理图;
图3为本发明的六位可编程计数器电路原理图;
图4为本发明的RS触发器R输入端、S输入端,计数器计数“溢出”端以及计数器“复位”端波形图;
图5为本发明的逻辑门毛刺消除机制示意图;
图6为本发明的可编程逻辑电路非可编程部分,或非逻辑毛刺消除机制示意图;
图7为本发明的可编程逻辑电路可编程部分,与非逻辑毛刺消除机制示意图。
具体实施方式
下面结合附图和具体实施例,进一步阐明本发明,应理解这些实施例仅用于说明本发明而不用于限制本发明的范围,在阅读了本发明之后,本领域技术人员对本发明的各种等价形式的修改均落于本申请所附权利要求所限定的范围。
本发明所述的一种毛刺消除可编程计数器,采用异步计数器为核心计数电路,通过将各分频输出端和可编程控制字输入可编程逻辑电路,在计数结束可编程控制字输入的脉冲个数后,输出计数结束脉冲,进入下一次计数周期。
本发明所述的一种毛刺消除可编程计数器电路框图和电路图分别如图1、图2所示。此种可编程计数器可分成四部分:异步计数器主体电路1、可编程逻辑电路2、复位脉冲产生电路3、计数器输出产生电路4。可编程逻辑电路2分成可编程部分和非可编程部分。可编程部分采用与非逻辑,非可编程部分采用或非逻辑。可编程部分和非可编程部分分别采用与非逻辑和或非逻辑,可以明显的消除可编程逻辑电路2输出端的毛刺。异步计数器主体电路1的N位分频输出端(Q0…QN-1)分别连接可编程逻辑电路2的非可编程部分,可编程输入端P分别连接可编程逻辑电路2的可编程部分。可编程部分和非可编程部分产生的输出分别连接复位脉冲产生电路3的两个输入端R0、S0,产生复位脉冲“复位”信号。复位脉冲“复位”信号与异步计数器主体电路1中所有D触发器的复位端连接,使得在复位信号到来之时,所有D触发器复位。同时复位脉冲产生电路3的另外两个输出端分别连接计数器输出产生电路4的两个输入端R1、S1,产生计数器输出“溢出”信号。
图3为本发明的六位可编程计数器,以此六位可编程计数器为例,简述本发明的具体实施方案。
异步计数器主体电路由六个D触发器级联构成,每一个D触发器的D端和Q端相连,构成二分频器。前级的Q为后级的D触发器提供输入时钟。该异步计数器主体电路最大可实现64分频。通过将各D触发器的分频输出端(Q0…Q5)与六位的可编程控制字(P0…P5)分别输入到可编程逻辑电路进行处理,便可实现与六位可编程控制字相对应的计数器输出。分频输出端(Q0…Q5)和可编程控制字(P0…P5)分别输入六个异或门(X0…X5),异或门输出顺次连接五个与门(A0…A4),构成可编程逻辑电路的可编程部分。X4和X5的输出连接A4,A4和X3的输出连接A3,A3和X2的输出连接A2,A2和X1的输出连接A1,A1和X0的输出连接A0,以此构成受可编程控制字(P0…P5)控制的输出。后一级的低频异或门输出均有前一级的高频异或门输出同步,有益于消除潜在毛刺。六个分频输出端(Q0…Q5)顺次连接五个或门(B0…B4)和一个反相器N4,构成可编程逻辑电路的非可编程部分。Q5和Q4连接B4,B4输出端和Q3连接B3,Q3输出端和Q2连接B2,B2输出端和Q1连接B1,B1输出端和Q0连接B0,B0连接N4,以此构成不受可编程控制字(P0…P5)控制的输出。A0和N4的输出分别连接D触发器和两个级联的反相器,构成复位信号产生电路,“复位”信号由A0连接的后级反相器N0输出端产生,反馈至异步计数器主体电路,使得计数器在计数结束后产生复位脉冲。反相器A1和A3连接后级的RS触发器,产生计数器输出“溢出”信号。
图4所示为该六位可编程计数器的输出波形图。在RS触发器的R上升沿到来之时,“溢出”端翻转为低电平,“复位”端翻转为高电平,开始计数,当S上升沿到来之时,“溢出”端翻转为高电平,“复位”端翻转为低电平,使得异步计数器主体电路的所有D触发器复位,“复位”端产生一个周期的复位脉冲,计数结束。图4中所示的波形图,输入参考时钟周期为3ns可编程控制字(P0…P5)为000011,计数器计数四个周期后产生一个周期的复位脉冲,相当于计数了五个周期的“时钟”信号。可以清晰的发现,波形图及其干净,无任何毛刺,彻底消除了由毛刺产生的误计数风险。另外图中的S端脉冲宽度为两个“时钟”周期,这是由于在每个分频输出端(Q0…Q5)之间存在延时,因此在通过逻辑门输出时,相对于“时钟”信号有一定的偏差,有可能在“时钟”上升沿到来之时,输入D触发器(D6、D7)的信号与实际值有所偏差,因此会延长脉冲宽度。然而对于后级的RS触发器来说,只对上升沿信号敏感,脉冲宽度并不影响输出结果。
基于何种原因使得简单的在可编程逻辑电路的可编程部分使用与非逻辑,非可编程部分使用或非逻辑,便可消除毛刺。下面做简要的毛刺消除机制分析。对于逻辑门来说,当不同的输入端同时向相反的方向翻转时,便会产生竞争-冒险现象。如图5所示,对于与非门来说,具有两个输入端A和B,当A由高电平向低电平翻转,B由低电平向高电平翻转,且B相对于A的翻转有所滞后,那么输出NAND端则不会产生毛刺。同理,对于或非门来说具有两个输入端C和D,当C由低电平向高电平翻转,D由高电平向低电平翻转,且D相对于C的翻转有所之后,那么输出NOR不会产生毛刺。基于此种机制,如图6所示为或非逻辑构成的可编程逻辑电路的非可编程部分,在竖线所标的位置,Q0和Q1分别向相反的方向翻转,存在竞争-冒险的危机。然而,Q0由低电平翻转为高电平,Q1由高电平翻转为低电平,且由于计数器本身的延迟特性,Q1相对于Q0有所滞后,因此并不会产生竞争-冒险,毛刺被彻底消除。如图7所示为与非逻辑构成的可编程逻辑电路的可编程部分,对于输入异或门产生翻转的输出端,相位移动了180°。输入控制字P0…P5分别为110000,当Q0…Q5分别为0011111时,输出R产生高电平计数结束脉冲。在竖线所标的位置,Q0和Q1分别向相反的方向翻转,存在竞争-冒险的危机。然而,Q0由低电平翻转为高电平,Q1由高电平翻转为低电平,相当于X0由高电平翻转为低电平,X1由低电平翻转为高电平,且由于计数器本身的延迟特性,Q1相对于Q0有所滞后,因此并不会产生竞争-冒险,毛刺被彻底消除。由于以上基于延迟特性的毛刺消除机制,本发明所述的毛刺消除可编程计数器可将潜在的毛刺危机彻底消除,避免了可编程计数器的误计数。

Claims (5)

1.一种毛刺消除可编程计数器,其特征在于:该计数器包括异步计数器主体电路(1)、可编程逻辑电路(2)、复位脉冲产生电路(3)、计数器输出产生电路(4)四部分;其中异步计数器主体电路(1)上设有N位分频输出端(Q0…QN-1)、可编程输入端P以及D触发器;可编程逻辑电路(2)分成可编程部分和非可编程部分,可编程部分采用与非逻辑,非可编程部分采用或非逻辑;复位脉冲产生电路(3)上设有两个输入端R0、S0以及三个输出端;计数器输出产生电路(4)上设有两个输入端R1、S1以及一个输出端;
异步计数器主体电路(1)的N位分频输出端(Q0…QN-1)分别连接可编程逻辑电路(2)的非可编程部分,异步计数器主体电路(1)的可编程输入端P分别连接可编程逻辑电路(2)的可编程部分,可编程部分和非可编程部分的输出端分别连接复位脉冲产生电路(3)的两个输入端R0、S0,复位脉冲产生电路(3)的一个输出端与异步计数器主体电路(1)中所有D触发器的复位端连接,同时复位脉冲产生电路(3)的另外两个输出端分别连接计数器输出产生电路(4)的两个输入端R1、S1。
2.根据权利要求1所述的一种毛刺消除可编程计数器,其特征在于:所述异步计数器主体电路(1)由N位D触发器级联产生,每个D触发器的输入D端和输出Q端连接,构成二分频器,N个D触发器的N位分频输出端(Q0…QN-1)与N位的可编程输入端(P0…PN-1)分别输入可编程逻辑电路(2),异步计数器主体电路(1)中的参考时钟由第一个级联D触发器的D端输入。
3.根据权利要求1所述的一种毛刺消除可编程计数器,其特征在于:所述可编程逻辑电路(2)中N个D触发器的N位分频输出端(Q0…QN-1)与N位的可编程输入端(P0…PN-1)分别输入可编程逻辑电路(2)的可编程部分,可编程部分由N个异或门(X0…XN-1)和N-1个与门(A0…AN-2)构成,N个D触发器的N位分频输出端(Q0…QN-1)与N位的可编程输入端(P0…PN-1)分别输入N个异或门(X0…XN-1),XN-1和XN-2的输出端连接AN-2,AN-2和XN-3的输出端连接AN-3,AN-3和XN-4的输出端连接AN-4…以此类推,A1的和X0的输出端连接A0,A0的输出连接复位脉冲产生电路(3)的S0输入端,N个D触发器的N位分频输出端(Q0…QN-1)分别输入可编程逻辑电路(2)的非可编程部分,非可编程部分由N-1个或门(B0…BN-2)和一个反相器N4构成,QN-1和QN-2连接BN-2,QN-3和BN-2的输出端连接BN-3,QN-4和BN-3的输出端连接BN-4…以此类推,Q0和B1的输出端连接B0,B0的输出端连接反相器N4,N4的输出端连接复位脉冲输出产生电路(3)的R0输入端。
4.根据权利要求1所述的一种毛刺消除可编程计数器,其特征在于:所述复位脉冲产生电路(3)由两个D触发器(D6、D7)和四个反相器(N0,N1,N2,N3)构成,两个D触发器的时钟与异步计数器主体电路(1)的“时钟”信号端连接,可编程逻辑电路(2)的可编程部分连接D6的D输入端,可编程逻辑电路(2)的可编程部分连接D7的D输入端,D6的输出通过两个级联反相器(N0,N1)产生输入与计数器输出产生电路(4)的R端连接,D7的输出通过两个级联反相器(N2,N3)产生输入与计数器输出产生电路(4)的S端连接,“复位”信号,由反相器N0的输出产生,连接异步计数器主体电路(1)中所有D触发器的复位端。
5.根据权利要求1所述的一种毛刺消除可编程计数器,其特征在于:所述计数器输出产生电路(4)由一个RS触发器RS0构成,RS0的R输入端连接复位信号产生电路(3)中N1的输出端,S输入端连接复位信号产生电路(3)中N3的输出端,RS0的Q输出端产生计数结束脉冲“溢出”信号。
CN2010102774719A 2010-09-09 2010-09-09 一种毛刺消除可编程计数器 Expired - Fee Related CN101944907B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2010102774719A CN101944907B (zh) 2010-09-09 2010-09-09 一种毛刺消除可编程计数器

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2010102774719A CN101944907B (zh) 2010-09-09 2010-09-09 一种毛刺消除可编程计数器

Publications (2)

Publication Number Publication Date
CN101944907A true CN101944907A (zh) 2011-01-12
CN101944907B CN101944907B (zh) 2012-11-14

Family

ID=43436717

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010102774719A Expired - Fee Related CN101944907B (zh) 2010-09-09 2010-09-09 一种毛刺消除可编程计数器

Country Status (1)

Country Link
CN (1) CN101944907B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103795403A (zh) * 2014-02-19 2014-05-14 天津市太阳精仪科技有限公司 一种计数器的信号变换及驱动模块
CN106549662A (zh) * 2016-10-31 2017-03-29 华为技术有限公司 一种多模可编程计数器及其实现方法、分频器
CN106843435A (zh) * 2016-12-21 2017-06-13 深圳市紫光同创电子有限公司 一种用于可编程逻辑器件的芯片复位电路及方法
CN107562163A (zh) * 2017-08-28 2018-01-09 上海集成电路研发中心有限公司 一种具有稳定复位控制的数字逻辑电路
CN109981099A (zh) * 2019-04-17 2019-07-05 成都微光集电科技有限公司 一种带溢出保护功能的计数器电路
CN110471520A (zh) * 2019-07-29 2019-11-19 广芯微电子(广州)股份有限公司 一种基于外部复位的mcu电路防抖方法
CN112636746A (zh) * 2020-11-10 2021-04-09 成都振芯科技股份有限公司 一种cml高速宽范围异步分频器、分频装置及电子设备
CN112702043A (zh) * 2021-03-24 2021-04-23 上海海栎创科技股份有限公司 一种双向去毛刺电路

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1848685A (zh) * 2005-04-04 2006-10-18 华为技术有限公司 一种毛刺消除装置和方法
CN101141123A (zh) * 2007-10-11 2008-03-12 电子科技大学 一种毛刺检测装置
US7372928B1 (en) * 2002-11-15 2008-05-13 Cypress Semiconductor Corporation Method and system of cycle slip framing in a deserializer
CN201878128U (zh) * 2010-09-09 2011-06-22 东南大学 一种毛刺消除可编程计数器

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7372928B1 (en) * 2002-11-15 2008-05-13 Cypress Semiconductor Corporation Method and system of cycle slip framing in a deserializer
CN1848685A (zh) * 2005-04-04 2006-10-18 华为技术有限公司 一种毛刺消除装置和方法
CN101141123A (zh) * 2007-10-11 2008-03-12 电子科技大学 一种毛刺检测装置
CN201878128U (zh) * 2010-09-09 2011-06-22 东南大学 一种毛刺消除可编程计数器

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
《延安大学学报(自然科学版)》 20020930 杨延宁等 可编程逻辑器件(PLD)的竞争冒险现象研究 36,37,40 1-5 第21卷, 第3期 2 *
《现代电子技术》 20071231 李莉 K变模可拟计数器竞争冒险现象的消除 185,186,190 1-5 , 第263期 2 *

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103795403A (zh) * 2014-02-19 2014-05-14 天津市太阳精仪科技有限公司 一种计数器的信号变换及驱动模块
CN106549662A (zh) * 2016-10-31 2017-03-29 华为技术有限公司 一种多模可编程计数器及其实现方法、分频器
CN106549662B (zh) * 2016-10-31 2019-07-09 华为技术有限公司 一种多模可编程计数器及其实现方法、分频器
CN106843435A (zh) * 2016-12-21 2017-06-13 深圳市紫光同创电子有限公司 一种用于可编程逻辑器件的芯片复位电路及方法
CN107562163A (zh) * 2017-08-28 2018-01-09 上海集成电路研发中心有限公司 一种具有稳定复位控制的数字逻辑电路
CN107562163B (zh) * 2017-08-28 2020-03-31 上海集成电路研发中心有限公司 一种具有稳定复位控制的数字逻辑电路
CN109981099A (zh) * 2019-04-17 2019-07-05 成都微光集电科技有限公司 一种带溢出保护功能的计数器电路
CN109981099B (zh) * 2019-04-17 2021-07-06 成都微光集电科技有限公司 一种带溢出保护功能的计数器电路
CN110471520A (zh) * 2019-07-29 2019-11-19 广芯微电子(广州)股份有限公司 一种基于外部复位的mcu电路防抖方法
CN112636746A (zh) * 2020-11-10 2021-04-09 成都振芯科技股份有限公司 一种cml高速宽范围异步分频器、分频装置及电子设备
CN112636746B (zh) * 2020-11-10 2022-10-21 成都振芯科技股份有限公司 一种cml高速宽范围异步分频器、分频装置及电子设备
CN112702043A (zh) * 2021-03-24 2021-04-23 上海海栎创科技股份有限公司 一种双向去毛刺电路

Also Published As

Publication number Publication date
CN101944907B (zh) 2012-11-14

Similar Documents

Publication Publication Date Title
CN101944907B (zh) 一种毛刺消除可编程计数器
US20080136448A1 (en) State machine and system and method of implementing a state machine
CN105553447B (zh) 时钟切换电路
US7034584B2 (en) Apparatus for frequency dividing a master clock signal by a non-integer
CN102346236A (zh) 一种时间参数测量系统
EP3707566B1 (en) Time-to-digital converter
CN106788424A (zh) 一种基于频率比较的锁定指示器
CN106681127A (zh) 移位寄存器电路、相位差计算方法及时间数字转换器
CN108155894A (zh) 一种基于fpga的同步混合延时型dpwm模块
KR20000052959A (ko) 디지탈 파형 정형 회로, 주파수 체배기 회로와 그 외부 동기방법 및 외부 동기 회로
KR101503732B1 (ko) 시간-디지털 변환기
TWI811007B (zh) 具備時脈丟失容限的無毛刺信號時脈切換電路及其操作方法、以及無毛刺信號時脈切換裝置
CN201878128U (zh) 一种毛刺消除可编程计数器
US7358782B2 (en) Frequency divider and associated methods
CN116931658A (zh) 一种基于数模转换器的多板同步时钟架构及方法
CN109274376B (zh) 一种可压缩最大转换耗时的游标环型时间数字转换器
WO2007085867A1 (en) Frequency divider circuits
CN108777575B (zh) 分频器
US9590637B1 (en) High-speed programmable frequency divider with 50% output duty cycle
US9891594B2 (en) Heterogeneous sampling delay line-based time to digital converter
CN106374914A (zh) 一种可编程分频器
CN113904655A (zh) 一种滤波电路及医用3d内窥镜
CN207896957U (zh) 一种高速分频器
CN207896958U (zh) 一种具有占空比调节功能的高速分频器
CN111490776A (zh) 一种基于计数器占空比可调同步分频器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121114

Termination date: 20170909