CN101821745A - 用于有助于3d ic布局的方法和系统 - Google Patents

用于有助于3d ic布局的方法和系统 Download PDF

Info

Publication number
CN101821745A
CN101821745A CN200980000250A CN200980000250A CN101821745A CN 101821745 A CN101821745 A CN 101821745A CN 200980000250 A CN200980000250 A CN 200980000250A CN 200980000250 A CN200980000250 A CN 200980000250A CN 101821745 A CN101821745 A CN 101821745A
Authority
CN
China
Prior art keywords
piece
disturbance
layout
time dependent
dependent parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200980000250A
Other languages
English (en)
Other versions
CN101821745B (zh
Inventor
S·辛哈
C·C·奇安格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of CN101821745A publication Critical patent/CN101821745A/zh
Application granted granted Critical
Publication of CN101821745B publication Critical patent/CN101821745B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明的一个实施例提供一种有助于三维集成电路(3D IC)布局的系统。在操作期间,该系统接收多个电路块。该系统在多层管芯结构的至少一层中放置块,并且设置随时间变化的参数的初始值。该系统然后迭代地扰动块布置,直至随时间变化的参数达到预定值。

Description

用于有助于3D IC布局的方法和系统
技术领域
本公开内容主要地涉及集成电路(IC)设计。更具体而言,本公开内容涉及一种用于有助于三维(3D)IC中的布局的方法和系统。
背景技术
超大规模集成(VLSI)电路根据莫尔定律的持续收缩要求封装和互连技术继续发展。三维集成电路(3D IC)作为一种用以帮助维持对缩放、性能和功能的系统需求步伐的可行解决方案正广受关注。
3D IC的一个关键益处在于系统尺寸减小。在传统技术中,系统组装是基于二维(2D)平面架构的。在平面互连衬底如印刷电路板(PCB)上个体地封装和连接管芯。管芯与封装之比一般为低(约50%),并且通常需要板上部件之间的间隔,这进而将面积效率减少至约30%。
深入研究3D IC的另一原因在于性能。在3D组装中的互连可能比在2D配置中短得多。这一特征允许3D IC具有更高的操作速率和更低功率消耗。
考虑3D IC的第三激发因素在于所谓的异质集成。具有大量各种功能电路块如逻辑、模拟器件和存储器的真实片上系统(SOC)器件相当难以构建。另外,用来构建有源器件的衬底可能因技术而明显地变化。除了“竖直”缩放之外,芯片设计者也在面临“水平”缩放。如果高密度3D IC技术可用,则可以使用异质器件的堆叠来制造3DSOC。这一器件在与单片SOC相比时将更小、消耗更少功率并且提供更高性能。另外,3D集成可以回避工艺技术中已经妨碍一流模拟器件与一流数字器件的实际集成的某些障碍。
因为3D IC由于它们集成大量功能并且包含不同技术而往往更复杂,所以3D IC的设计、表示和优化要求改变设计流程中的许多阶段。特别地,3D IC的优化涉及到额外自由度,z维度,需要开发良好设计的电子设计自动化(EDA)工具以提供更佳解决方案。另外,将有必要表示由多种技术并行施加的约束。因此,需要改变基础结构以及在各种设计阶段的分析和优化方式以实现3D IC设计。已经成为2D IC问题的比如散热这样的某些问题就3D IC而言将更为凸显。这一挑战归因于3D结构中的更大功率密度和器件层之间电介质层的不良导热性,这可能增加芯片热失控的可能性。
在所有EDA任务之中,管芯布局是一个重要阶段。多数目前可用3D布局技术仅为2D技术的扩展,并且主要关注于波长优化和热问题。这些技术没有考虑可制造性和设计约束,并且在获得全局优化解决方案时常常显得不足。
发明内容
本发明的一个实施例提供一种用于有助于三维集成电路(3DIC)布局的系统。在操作期间,该系统接收多个电路块。该系统还接收3D结构的参数集,其中参数包括以下参数中的一个或者多个参数:管芯面积、最大总线长、在相应层上的直通硅通路(TSV)的最大数目以及在3D结构中的相应层的纵横比。该系统然后通过优化成本函数来计算跨越3D结构中的层的电路块的布局,其中针对给定布局成本函数基于电路块所用总面积、线长和TSV、各层中的电路块占据的区域的纵横比以及由电路块产生的最高温度。
本发明的一个实施例提供一种有助于三维集成电路(3D IC)布局的系统。在操作期间,该系统接收多个电路块。该系统在多层管芯结构的至少一层中放置块并且设置随时间变化的参数的初始值。该系统然后迭代地进行以下迭代操作直至随时间变化的参数达到预定值。
在迭代期间,该系统扰动块的当前布置。该系统还基于扰动前布置和扰动后布置中的块所需的总管芯面积、总线长、直通硅通路(TSV)的总数目以及管芯的纵横比来计算成本函数的值。如果成本函数的计算值小于与扰动前布置关联的成本函数值,则该系统接受扰动后块布置作为当前块布置。如果成本函数的计算值大于或者等于与扰动前布置关联的成本函数值,则该系统以非零概率接受扰动后块布置作为当前块布置,其中该非零概率随着随时间变化的参数而减小。该系统也递减随时间变化的参数。
在迭代操作之后,该系统产生表明跨越不同层的最终块布置的结果。
在对这一实施例的一种变化中,扰动块的当前布置涉及到进行以下操作中的一个或者多个操作:移动至少一个块、交换两个块、旋转至少一个块以及翻转至少一个块。
在又一变化中,以增加的概率进行将块从拥塞层移动到未用空间更多的层的扰动。
在又一变化中,基于在相应层上的管芯区域的界限内一个或者多个块的松弛度来进行扰动。
在又一变化中,当随时间变化的参数在预定值以上时,优选基于松弛度的块移动。
在又一变化中,当随时间变化的参数等于预定值或者在预定值以下时,优选基于松弛度的块交换。
在对这一实施例的一种变化中,该系统在随时间变化的参数达到预定中间值之后,将大于预定尺寸的至少一个块分解成更小块。
在又一变化中,该系统在随时间变化的参数达到预定中间值之后增加随时间变化的参数,由此允许分解的块经历附加扰动。
在对这一实施例的一种变化中,接收电路块涉及到在预先存在的二维(2D)或者3D布局中接收块。
附图说明
图1图示了实例3D IC结构。
图2呈现了对根据本发明一个实施例的示例3D布局过程进行图示的流程图。
图3图示了根据本发明一个实施例的空间松弛度概念。
图4图示了根据本发明一个实施例的示例模拟退火温度控制曲线。
图5图示了根据本发明一个实施例的在高模拟退火温度的布局结果的例子。
图6图示了根据本发明一个实施例的在低模拟退火温度的布局结果的例子。
图7图示了根据本发明一个实施例的用于有助于3D布局的示例计算机系统。
具体实施方式
呈现以下描述以使本领域技术人员能够实现和利用本发明,并且在特定应用及其要求的背景下提供该描述。本领域技术人员将容易清楚对公开的实施例的各种修改,并且这里限定的一般原理可以适用于其它实施例和应用而不脱离本发明的精神实质和范围。因此,本发明不限于所示实施例,而是将被赋予以与这里公开的原理和特征一致的最广范围。
在本具体实施方式中描述的数据结构和代码通常存储于计算机可读存储介质上,该介质可以是能够存储用于由计算机系统使用的代码和/或数据的任何设备或者介质。计算机可读存储介质包括但不限于易失性存储器、非易失性存储器、磁和光储存设备(比如盘驱动、磁带、CD(光盘)、DVD(数字万用盘或者数字视频盘)或者现在已知或者以后开发的能够存储计算机可读介质的其它介质。
概述
竖直系统集成的主要方式是通过使用直通硅通路(though-silicon via)(TSV)准确地对准、键合并且任意地互连减薄的器件(管芯或者晶片)来堆叠它们。在典型流程中,电路设计在工程上被设计成配合到在单独晶片上相应制造的单独层上。随后将晶片对准、堆叠和减薄。在这一处理中的某处,将TSV并入到堆叠的晶片/管芯中以实现竖直连接。这些操作的确切顺序对于不同的方法广泛地变化。
图1图示了示例3D IC结构。所示结构包括晶片层102、104和106这三层。各个层包含多个电路,比如层106中的MOSFET 114。层102包括硅衬底120。通过衬底减薄处理将层104和106均减薄。这三层通过晶片对准处理来对准,并且通过键合层如键合层112来键合在一起以形成3D结构。通过TSV如TSV 108来耦合不同层中的器件。相应TSV与另一层中的焊盘接触。例如,TSV 108通过层102中的焊盘110将层104中的器件耦合到层102中的器件。
本发明的实施例提供一种用于通过在3D结构中的各层的管芯轮廓内布置给定的电路块来有助于3D布局的方法。结果是跨越3D结构的不同层的对比如面积利用率、线长、纵横比和与TSV有关的约束这样的多个参数进行优化的块布置。在本发明的实施例中,本布局工具使用一种称为模拟退火的迭代扰动方法以发现基本上最优的解。
模拟退火(SA)是一种用于全局优化问题的通用概率元算法,即确定对给定函数的全局最优条件的良好近似在大型搜索空间中的位置。当搜索空间为离散时常常使用SA。“模拟退火”名出冶金退火,这是一种涉及到对材料的加热和受控冷却以增加它的晶体尺寸并且减少晶体缺陷的技术。热度造成原子变得脱离它们的初始位置(内部能量的局部最小值)并且随机漂泊于能量更高的状态;缓慢冷却处理给予原子以发现如下配置的更多机会,这些配置具有比初始能量更低的内部能量。
类似于这一物理处理,SA处理的各步骤用随机“附近”解取代当前解,其中选择“附近”解的概率依赖于对应成本函数(类似于实际退火处理中的能量水平)的值之差以及在SA处理期间逐渐减小的全局参数T(称为温度)。一般而言,当T为大时,系统接受扰动的解,该解获得具有非零概率的更高成本函数值(与其中系统仅接受成本函数值更低的解的贪婪算法相反)。注意,这一非零概率随着退火温度而减小并且在T降至零时逼近零。因而当前解在T为大时几乎随机改变、但是在T降至零时变得越来越“下坡”。在T为大时对“上坡”移动的允许使处理免于变得陷于局部最小值—这是更贪婪方法之害。注意,在本公开内容中将随时间变化的参数T称为模拟退火温度或者SA温度。这一参数与物理温度无关。它代之以是用以控制SA处理进展的参数。
图2呈现了对根据本发明一个实施例的示例3D布局处理进行图示的流程图。在操作期间,3D布局系统先选择初始SA温度值并且生成初始布局(操作202)。注意,初始布局可以是跨越层的任何块布置。随后,系统扰动布局(操作204)。系统然后评估扰动的布局的成本函数(操作206)。系统还确定成本函数值是否可接受(操作208)。如果可接受,则系统用扰动的块布置更新布局(操作210)。否则,系统继续递减SA温度T(操作212)。接着,系统确定T是否已经达到终止条件(操作214)。例如,如果T达到零,则满足终止条件。如果是这样,则系统返回。否则,系统回到循环中并且继续扰动布局(操作204)。
问题的公式表示
一般性的3D固定轮廓布局问题(3D-FOFP)可以用公式表示如下。令B={bi|1≤i≤n}为给定的电路块集合,其中各块bi具有宽度wi和高度hi。各块自由旋转和/或翻转。另外,期望布局满足与管芯轮廓、TSV和热问题有关的某些约束。固定轮廓约束保证满足管芯上的给定尺度,例如在给定轮廓中包含所有层中的管芯。固定轮廓约束(常称为固定管芯约束)通常用于分级设计。在布局过程中经常包括这一约束,因为如果解没有配合于给定轮廓内,那么纯线长和/或面积最小化仍然可能获得无用的解。可以提供各管芯的希望宽度W和希望高度H。取而代之,可以提供可以用来计算用于所有管芯的W和H的最大纵横比和最大允许未用空间。可制造性约束与TSV有关。这一领域中的一个关键约束在于保证每对相邻管芯层之间的TSV数目在某一用户指定界限内。该界限可以随着不同层而变化,并且通常基于视所用键合方案而定的TSV节距考虑来计算。一个附加约束与热问题有关。由于3D结构中的更大功率密度和不良导热性,应当在布局期间考虑热问题。一个关键热约束将限制任何管芯层中的最大可能温度。
布局工具的目的在于发现用于各块bi的左下角的坐标(xi,yi,li),使得0≤xi≤W-wi,0≤yi≤H-Hi;1≤li≤L;并且任何两块之间不存在重叠。
在一个实施例中,3D结构中的各管芯的宽度W和高度H相同。在该情况下,有可能根据芯片面积和最大允许未用空间来计算芯片的宽度W和高度H。计算如下。假设所有块的面积之和为A,3D IC的层数目为L,白空间(即未用空间)的最大允许率为ε,而管芯的给定纵横比(即高度与宽度之比)为γ。则,3D IC(以及各层中的管芯)的宽度W和高度H可以表达为:
W = ( 1 + ϵ ) · A · γ / L ; H = ( 1 + ϵ ) · A / ( γ · L )
已经在2D布局的背景中完成固定轮廓布局中的先前工作。传统布局成功优化成本函数如面积和线长的线性组合。然而,固定轮廓布局优化比在无轮廓条件之下使线性成本函数最小在计算上明显更难。传统布局工具失败的主要原因在于缺乏智能解排列方法。
本发明的实施例将“空间松弛度”概念用于块排列。图3图示了根据本发明一个实施例的空间松弛度概念。水平约束图如图3中所示由定向边以及顶点S、A、B、C、D和T构造。在这一图中,固定轮廓的左边界和右边界分别为“源”(顶点S)和“宿”(顶点T)。各块A、B、C和D由对应顶点代表,而该顶点的权值被赋值为对应块的宽度。
对空间松弛度的计算类似于静态时序分析(STA)松弛度的计算,不同之处在于对边加权的图上进行STA,而图3中的水平约束图为顶点加权。因此,在计算空间松弛度之前,将各顶点的权值分配给该顶点的入射边。如图3中所示,块D的右边已经超过固定管芯轮廓302的右边界,并且顶点D的松弛度为负。因此,在扰动当前序列对时,将任何块移动到块D的右边是不利的。恰好相反,由于块A的松弛度大于块D的宽度,所以将块D移动到块A的右边可以满足固定轮廓约束。因此,将空间松弛度小的块移动到松弛度大的块旁边是潜在良好排列,并且系统可以偏置进行这一种排列的概率。在本公开内容中,术语“松弛度”用来指明空间松弛度。本发明的实施例使用空间松弛度概念以指导块移动。可以在S.Adya和I.Markov在Proc.Intl.Conf.on Computer Design 2001年第328-334页的“Fixed-outline floorplanning through better local search”和H.Murata,K.Fujiyoshi,S.Nakatake和Y.Kajitan在IEEE Systems 1996年第15卷第12期第1518-1524页的“VLSI module placement based onrectangle-packing by the sequence pair”中发现关于基于松弛度的块布置的更多细节。以上两篇文献通过参考引入这里。
3D固定轮廓布局
本发明的实施例使用序列对阵列以代表块的位置。对于各层,序列对用来表达这一层中的块放置。由于在当前3D IC技术中TSV在尺寸上比正常通路大数倍,所以限制TSV的最大数目以便使面积使用最小是有益的。因此,本发明的实施例限制各层中的TSV数目以将可制造性纳入考虑之中。以下章节描述3D-FOFP方式的各种方面。
3D-FOFP算法
如更早提到的那样,本发明的实施例使用模拟退火方式并且允许层间和层内块移动。在一个实施例中,系统起初将所有块保持于第一层中并且生成随机序列对以代表块的初始布局。接着,将初始序列对馈送到布局工具中,该布局工具旨在生成不违反固定轮廓约束和TV界定约束的布局结果。同时,该工具寻求使3D设计的总线长最小。
在模拟退火处理期间,块移动到不同层并且均匀分布于层之中,从而可以满足各种目标。为了有助于解的收敛并且满足固定轮廓约束,扩展基于松弛度的移动这一概念以适用于3D布局。另外,将TSV溢出成本和线长成本并入到成本函数中以满足TSV约束并且优化线长。在一个实施例中,有可能具有其中允许将大块分解成更小块的第二阶段。(本公开内容将第一阶段称为3D-1而将第二阶段称为3D-2)。更小的块然后可以移动到连续层中以尝试提高布局的成功率并且优化线长。在这一第二阶段背后的基本思想在于更小块具有更大的移动灵活性。在一个实施例中,将3D-1的结果馈送到3D-2中以进一步优化线长并且提高满足固定轮廓约束的成功率。
温度调度
在一个实施例中,将初始退火温度设置成很高的值,例如30,000度。在这一高温阶段,较差解被接受的概率高。然后,在基数接近1的情况下呈指数减小退火温度,并且相应地逐渐减小较差解的接受概率。当退火温度很接近0度时,接受较差解的概率接近0,并且3D布局算法表现得类似贪婪算法。在一个实施例中,在SA温度降至某一冷却阈值以下之后,终止3D-1阶段并且调用3D-2阶段。
注意,如果3D-2的初始温度太高,则系统可能完全地失去在3D-1中获得的布局结构,并且系统可能没有从3D-1的结果中获益。因此在一个实施例中,在3D-2开始时将退火温度提升至与3D-1的初始温度相比的相对低温度、然后逐渐减小退火温度。在图4中示出了退火温度比对时序的绘图。
扰动方法
在SA迭代的各步骤期间,通过更改块或者成对块的位置来获得新的块布置。在模拟退火处理的各步骤中使用的扰动方法可以分类如下。
(1)随机扰动:这些扰动包括对随机选择的块或者成对块的层内移动、层间移动、层内交换和层间交换。在移动期间,块从一个位置移动到另一位置。另一方面,在交换期间互换两个块的位置。
(2)面积平衡扰动:在这一扰动中,偏置块的移动以增加将块从拥塞层移动到白空间更多的层的概率。这些移动实现对各层中的白空间的更佳利用。
(3)基于松弛度的扰动:有在这一类别中包括的四类扰动。它们是层内基于松弛度的移动、层间基于松弛度的移动、层内基于松弛度的交换和层间基于松弛度的交换。松弛度信息用来选择用于移动或者交换的块。
(4)旋转和翻转扰动:块旋转增加用于压缩矩形块的允许几何组合数目,由此潜在地增加满足固定轮廓约束的成功率。另一方面,块翻转帮助减小线长。
(5)具有半周界线长(HPWL)意识的扰动:为了使线长最小,这一扰动将块移动到与这一个块连接的管脚的质心。
注意,前述扰动仅为许多可能扰动技术的少数技术。可以引入附加扰动以获得用于其它设计约束的改进解。
经验证据表明除了基于松弛度的移动和基于松弛度的交换之外,在各模拟退火步骤期间随机挑选扰动会实现优质结果。另一方面,基于松弛度的移动和交换已经示范如下文说明的明显SA温度依赖性。当退火温度高时,块的放置可能明显违反固定轮廓约束。图5是在高温阶段的布局结果例子。在初始布局502中,块G和块B分别在水平方向上具有最大和最小松弛度。由于最大与最小松弛度之差很大,所以直接地将块B移动到块G的右边(在布局504中示出)具有将关键块的松弛度增加宽度B的更佳机会。因此,布局504比布局502更紧凑和更相符。恰好相反,如果系统进行基于松弛度的交换,则关键松弛度如布局506中所示仅能被增加与块B与块G的宽度差相等的量。与基于松弛度的移动相比,基于松弛度的交换具有更少机会在高温阶段改进关键松弛度。注意“关键松弛度”指代所有块之中的最大负松弛度或者最小正松弛度。
然而,当SA温度冷却时,布局变得更紧凑。因而基于松弛度的移动变成不利的扰动方法。如图6中所示,在初始布局602中,块的松弛度之差不如高SA温度阶段中那么明显。在这一条件之下,基于松弛度的移动(在布局604中示出)具有使非关键块的松弛度为负的更高概率并且由此有碍于模拟退火处理的收敛。因此,基于松弛度的交换(在布局606中示出)在低温阶段变成优选扰动方法。一般而言,依赖于温度的扰动帮助实现质量更佳的结果。
成本函数
在一个实施例中,为了处理多个目标,比如面积最小化、线长最小化以及与纵横比和TSV界定的相符性,使用以下目标函数:cost=α×DArea+β×DWL+χ×DAR+δ×Overflow,其中α、β、χ和δ是用户限定的常数。
在各迭代步骤开始时,将初始解设置成最后接受的解。CurArea、CurWL和CurAR这些项分别表示最后接受的解的面积、线长和纵横比。注意,布局的纵横比是包含所有块的最小有界框的纵横比。类似地,PtbArea、PtbWL和PtbAR这些项分别表示扰动的解的面积、线长和纵横比。此外,BArea、TSVOverflow和TSVBound这些项分别代表块的面积之和、TSV的溢出和TSV的上届。成本函数中的项限定如下:
DArea=(PtbArea-CurArea)/BArea;
DWL=(PtbWL-CurWL)/CurWL;
DAR=(PtbAR-CurAR)2
Overflow=TSVOverflow/TSVBound,
DArea和DAR这些项是占据更大面积或者违反给定固定轮廓纵横比的布局结果的代价。这些项和基于松弛度的扰动帮助增强用于满足固定轮廓约束的成功率。DWL项帮助布局工具优化线长。此外,Overflow成本可以防止布局结果违反TSV约束。
前述成本函数是许多可能成本函数中的仅一个成本函数。成本函数还可以包括比如与3D结构的热特性有关的项这样的附加项以反映不同设计约束。
示例布局系统
可以将具体实施方式这一节中描述的方法和过程实施为能够存储于如上所述计算机可读存储介质中的代码和/或数据。当计算机系统读取和执行计算机可读存储介质上存储的代码和/或数据时,计算机系统实现作为数据结构和代码来实施的并且存储于计算机可读存储介质内的方法和过程。
另外,可以在硬件模块中包括所述方法和过程。例如,硬件模块可以包括但不限于专用集成电路(ASIC)芯片、现场可编程门阵列(FPGA)和现在已知或者将来开发的其它可编程逻辑器件。当激活硬件模块时,硬件模块实现硬件模块内包括的方法和过程。
图7图示了根据本发明一个实施例的、用于有助于3D布局的示例计算机系统。计算机系统702耦合到显示器713、键盘710和指示设备712。计算机系统702包括处理器704、存储器706和储存设备708。储存设备708存储用于3D布局应用718的代码,该应用又包括扰动模块720、SA温度控制模块722和块分解模块714。在操作期间,3D布局应用718从储存设备708加载到存储器706中、然后由处理器704执行。最终结果可以显示于显示器713上。
仅出于示例和描述的目的已经呈现对本发明实施例的前文描述。本意并非让它们穷举本发明或者使本发明限于公开的形式。因而,本领域技术人员将清楚许多修改和变化。此外,本意并非让上述公开内容限制本发明。本发明的范围由所附权利要求限定。

Claims (30)

1.一种用于有助于三维集成电路(3D IC)布局的计算机执行的方法,所述方法包括:
接收多个电路块;
接收3D结构的参数集,其中所述参数包括以下参数中的一个或者多个参数:
管芯面积;
最大总线长;
在相应层上的直通硅通路(TSV)的最大数目;以及
在所述3D结构中的相应层的纵横比;并且
通过优化成本函数来计算跨越所述3D结构中的层的所述电路块的布局,其中针对给定布局,所述成本函数基于所述电路块所用的总面积、线长和TSV,各层中的所述电路块占据的区域的纵横比以及由所述电路块产生的最高温度。
2.一种用于有助于三维集成电路(3D IC)布局的计算机执行的方法,所述方法包括:
接收多个电路块;
在多层管芯结构的至少一层中放置所述块;
设置随时间变化的参数的初始值;
迭代地进行以下操作直至所述随时间变化的参数达到预定值:
扰动所述块的当前布置;
基于扰动前布置和扰动后布置中的所述块所需的总管芯面积、总线长、直通硅通路(TSV)的总数目以及管芯的纵横比来计算成本函数的值;
如果所述成本函数的计算值小于与所述扰动前布置关联的成本函数值,则接受所述扰动后块布置作为当前块布置;
如果所述成本函数的计算值大于或者等于与扰动前布置关联的成本函数值,则以非零概率接受所述扰动后块布置作为当
前块布置,其中该非零概率随着所述随时间变化的参数而减小;并且
递减所述随时间变化的参数;并且
在所述迭代操作之后,产生表明跨越不同层的最终块布置的结果。
3.根据权利要求2所述的方法,其中扰动所述块的当前布置包括进行以下操作中的一个或者多个操作:
移动至少一个块;
交换两个块;
旋转至少一个块;以及
翻转至少一个块。
4.根据权利要求3所述的方法,其中以增加的概率进行将块从拥塞层移动到未用空间更多的层的扰动。
5.根据权利要求3所述的方法,其中基于在相应层上的管芯区域的界限内一个或者多个块的松弛度来进行所述扰动。
6.根据权利要求5所述的方法,其中当所述随时间变化的参数在预定值以上时,优选基于松弛度的块移动。
7.根据权利要求5所述的方法,其中当所述随时间变化的参数等于预定值或者在所述预定值以下时,优选基于松弛度的块交换。
8.根据权利要求2所述的方法,还包括在所述随时间变化的参数达到预定中间值之后,将大于预定尺寸的至少一个块分解成更小块。
9.根据权利要求8所述的方法,还包括在所述随时间变化的参数达到所述预定中间值之后,增加所述随时间变化的参数,由此允许所述分解的块经历附加扰动。
10.根据权利要求2所述的方法,其中接收所述电路块包括在预先存在的二维(2D)或者3D布局中接收所述块。
11.一种存储代码的计算机可读存储介质,所述代码在由计算机执行时使所述计算机实现一种用于有助于三维集成电路(3D IC)布局的方法,所述方法包括:
接收多个电路块;
接收用于3D结构的参数集,其中所述参数包括以下参数中的一个或者多个参数:
管芯面积;
最大总线长;
在相应层上的直通硅通路(TSV)的最大数目;以及
在所述3D结构中的相应层的纵横比;并且
通过优化成本函数来计算跨越所述3D结构中的层的所述电路块的布局,其中针对给定布局,所述成本函数基于所述电路块所用的总面积、线长和TSV,各层中的所述电路块占据的区域的纵横比以及由所述电路块产生的最高温度。
12.一种存储代码的计算机可读存储介质,所述代码在由计算机执行时使所述计算机实现一种用于有助于三维集成电路(3D IC)布局的方法,所述方法包括:
接收多个电路块;
在多层管芯结构的至少一层中放置所述块;
设置随时间变化的参数的初始值;
迭代地进行以下操作直至所述随时间变化的参数达到预定值:
扰动所述块的当前布置;
基于扰动前布置和扰动后布置中的所述块所需的总管芯面积、总线长、直通硅通路(TSV)的总数目以及管芯的纵横比来计算成本函数的值;
如果所述成本函数的计算值小于与所述扰动前布置关联的成本函数值,则接受所述扰动后块布置作为当前块布置;
如果所述成本函数的计算值大于或者等于与扰动前布置关联的成本函数值,则以非零概率接受所述扰动后块布置作为当前块布置,其中该非零概率随着所述随时间变化的参数而减小;并且
递减所述随时间变化的参数;并且
在所述迭代操作之后,产生表明跨越不同层的最终块布置的结果。
13.根据权利要求12所述的计算机可读存储介质,其中扰动所述块的当前布置包括进行以下操作中的一个或者多个操作:
移动至少一个块;
交换两个块;
旋转至少一个块;以及
翻转至少一个块。
14.根据权利要求13所述的计算机可读存储介质,其中以增加的概率进行将块从拥塞层移动到未用空间更多的层的所述扰动。
15.根据权利要求13所述的计算机可读存储介质,其中基于在相应层上的管芯区域的界限内一个或者多个块的松弛度来进行所述扰动。
16.根据权利要求15所述的计算机可读存储介质,其中当所述随时间变化的参数在预定值以上时,优选基于松弛度的块移动。
17.根据权利要求15所述的计算机可读存储介质,其中当所述随时间变化的参数等于预定值或者在所述预定值以下时,优选基于松弛度的块交换。
18.根据权利要求12所述的计算机可读存储介质,其中所述方法还包括在所述随时间变化的参数达到预定中间值之后,将大于预定尺寸的至少一个块分解成更小块。
19.根据权利要求18所述的计算机可读存储介质,其中所述方法还包括在所述随时间变化的参数达到所述预定中间值之后增加所述随时间变化的参数,由此允许所述分解的块经历附加扰动。
20.根据权利要求12所述的计算机可读存储介质,其中接收所述电路块包括在预先存在的二维(2D)或者3D布局中接收所述块。
21.一种用于有助于三维集成电路(3D IC)布局的计算机系统,所述计算机系统包括:
处理器;
存储器;
接收机制,配置成接收多个电路块和3D结构的参数集,其中所述参数包括以下参数中的一个或者多个参数:
管芯面积;
最大总线长;
在相应层上的直通硅通路(TSV)的最大数目;以及
在所述3D结构中的相应层的纵横比;以及
计算机制,配置成通过优化成本函数来计算跨越所述3D结构中的层的所述电路块的布局,其中针对给定布局,所述成本函数基于所述电路块所用总面积、线长和TSV,各层中的所述电路块占据的区域的纵横比以及由所述电路块产生的最高温度。
22.一种用于有助于三维集成电路(3D IC)布局的计算机系统,所述计算机系统包括:
处理器;
存储器;
接收机制,配置成接收多个电路块;
初始放置机制,配置成在多层管芯结构的至少一层中放置所述块;
随时间变化的参数设置机制,配置成设置随时间变化的参数的初始值;
迭代机制,配置成迭代地进行以下操作直至所述随时间变化的参数达到预定值:
扰动所述块的当前布置;
基于扰动前布置和扰动后布置中的所述块所需的总管芯面积、总线长、直通硅通路(TSV)的总数目以及管芯的纵横比来计算成本函数的值;
如果所述成本函数的计算值小于与所述扰动前布置关联的成本函数值,则接受所述扰动后块布置作为当前块布置;
如果所述成本函数的计算值大于或者等于与扰动前布置关联的成本函数值,则以非零概率接受所述扰动后块布置作为当前块布置,该非零概率随着所述随时间变化的参数而减小;并且
递减所述随时间变化的参数;以及
结果产生机制,配置成在所述迭代操作之后产生表明跨越不同层的最终块布置的结果。
23.根据权利要求22所述的计算机系统,其中在扰动所述块的当前布置之时,所述迭代机制还被配置成进行以下操作中的一个或者多个操作:
移动至少一个块;
交换两个块;
旋转至少一个块;以及
翻转至少一个块。
24.根据权利要求23所述的计算机系统,其中以增加的概率进行将块从拥塞层移动到未用空间更多的层的所述扰动。
25.根据权利要求23所述的计算机系统,其中基于在相应层上的管芯区域的界限内一个或者多个块的松弛度来进行所述扰动。
26.根据权利要求25所述的计算机系统,其中当所述随时间变化的参数在预定值以上时,优选基于松弛度的块移动。
27.根据权利要求25所述的计算机系统,其中当所述随时间变化的参数等于预定值或者在所述预定值以下时,优选基于松弛度的块交换。
28.根据权利要求22所述的计算机系统,还包括:块分解机制,配置成在所述随时间变化的参数达到预定中间值之后,将大于预定尺寸的至少一个块分解成更小块。
29.根据权利要求28所述的计算机系统,其中所述随时间变化的参数设置机制还被配置成在所述随时间变化的参数达到所述预定中间值之后增加所述随时间变化的参数,由此允许所述分解的块经历附加扰动。
30.根据权利要求22所述的计算机系统,其中在接收所述电路块之时,所述接收机制被配置成在预先存在的二维(2D)或者3D布局中接收所述块。
CN200980000250.2A 2008-07-30 2009-07-17 用于有助于3d ic布局的方法和系统 Active CN101821745B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/182,263 US8006212B2 (en) 2008-07-30 2008-07-30 Method and system for facilitating floorplanning for 3D IC
US12/182,263 2008-07-30
PCT/US2009/051083 WO2010014445A2 (en) 2008-07-30 2009-07-17 Method and system for facilitating floorplanning for 3d ic

Publications (2)

Publication Number Publication Date
CN101821745A true CN101821745A (zh) 2010-09-01
CN101821745B CN101821745B (zh) 2016-08-03

Family

ID=41609638

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980000250.2A Active CN101821745B (zh) 2008-07-30 2009-07-17 用于有助于3d ic布局的方法和系统

Country Status (6)

Country Link
US (1) US8006212B2 (zh)
EP (1) EP2308000A4 (zh)
JP (1) JP5290416B2 (zh)
CN (1) CN101821745B (zh)
TW (1) TWI456421B (zh)
WO (1) WO2010014445A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102754102A (zh) * 2010-12-09 2012-10-24 松下电器产业株式会社 三维集成电路的设计支持装置及设计支持方法
CN103500240A (zh) * 2013-09-04 2014-01-08 深圳先进技术研究院 对硅通孔进行动态规划布线的方法
CN109033580A (zh) * 2018-07-11 2018-12-18 中国矿业大学(北京) 一种应用于三维集成电路的层分配方法
CN111063670A (zh) * 2018-10-17 2020-04-24 奇景光电股份有限公司 电路布线方法、电路布线系统以及集成电路

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8405420B2 (en) * 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8384426B2 (en) * 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US7969193B1 (en) * 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US8281275B2 (en) 2010-11-19 2012-10-02 Synopsys, Inc. Reducing leakage power in integrated circuit designs
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8689164B2 (en) * 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US8522186B2 (en) * 2011-12-16 2013-08-27 Industrial Technology Research Institute Method and apparatus of an integrated circuit
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US20130289945A1 (en) * 2012-04-27 2013-10-31 U.S.A. As Represented By The Administrator Of The National Aeronautics And Space Administration System and Method for Space Utilization Optimization and Visualization
US8914764B2 (en) * 2012-06-18 2014-12-16 International Business Machines Corporation Adaptive workload based optimizations coupled with a heterogeneous current-aware baseline design to mitigate current delivery limitations in integrated circuits
KR102004852B1 (ko) 2012-11-15 2019-07-29 삼성전자 주식회사 컴퓨팅 시스템을 이용한 반도체 패키지 디자인 시스템 및 방법, 상기 시스템을 포함하는 반도체 패키지 제조 장치, 상기 방법으로 디자인된 반도체 패키지
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9064077B2 (en) * 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9993058B2 (en) * 2013-01-16 2018-06-12 Matthew W. Krenik Positioning system and methods for use with automated hair cutting systems
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
KR102053722B1 (ko) 2013-03-11 2019-12-09 삼성전자주식회사 적층 반도체 장치의 tsv 배치 설계 방법 및 적층 반도체 장치의 tsv 배치 설계 시스템
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
KR102144734B1 (ko) 2013-10-25 2020-08-14 삼성전자 주식회사 반도체 장치 제조 방법
US20150199464A1 (en) * 2014-01-10 2015-07-16 Nvidia Corporation Floorplan anneal using perturbation of selected automated macro placement results
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9436791B1 (en) 2015-03-24 2016-09-06 International Business Machines Corporation Optimizing placement of circuit resources using a globally accessible placement memory
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9712168B1 (en) 2016-09-14 2017-07-18 Qualcomm Incorporated Process variation power control in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10467373B2 (en) * 2018-02-21 2019-11-05 Xilinx, Inc. Method of selecting routing resources in a multi-chip integrated circuit device
CN108920746B (zh) * 2018-05-17 2022-07-26 佛山市顺德区中山大学研究院 一种集成电路布局规划的预压缩方法及系统
US10839125B1 (en) * 2018-09-24 2020-11-17 Xilinx, Inc. Post-placement and post-routing physical synthesis for multi-die integrated circuits
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11238206B1 (en) 2021-03-26 2022-02-01 Xilinx, Inc. Partition wire assignment for routing multi-partition circuit designs

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0969119A (ja) * 1995-08-31 1997-03-11 Sony Corp 回路設計装置及び回路設計方法
TW521506B (en) * 2001-01-18 2003-02-21 Fujitsu Ltd Segmented circuitry
AU2004307162A1 (en) * 2003-10-29 2005-05-12 Qualcomm Incorporated High data rate interface
US7921384B2 (en) * 2006-11-13 2011-04-05 Neal Solomon System, methods and apparatuses for integrated circuits for nanorobotics
US8046727B2 (en) * 2007-09-12 2011-10-25 Neal Solomon IP cores in reconfigurable three dimensional integrated circuits
US7772880B2 (en) * 2007-09-12 2010-08-10 Neal Solomon Reprogrammable three dimensional intelligent system on a chip
US8136071B2 (en) * 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US8042082B2 (en) * 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US8103996B2 (en) * 2008-06-24 2012-01-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis of through-silicon via (TSV)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102754102A (zh) * 2010-12-09 2012-10-24 松下电器产业株式会社 三维集成电路的设计支持装置及设计支持方法
CN102754102B (zh) * 2010-12-09 2016-02-03 松下电器产业株式会社 三维集成电路的设计支持装置及设计支持方法
CN103500240A (zh) * 2013-09-04 2014-01-08 深圳先进技术研究院 对硅通孔进行动态规划布线的方法
CN103500240B (zh) * 2013-09-04 2016-09-07 深圳先进技术研究院 对硅通孔进行动态规划布线的方法
CN109033580A (zh) * 2018-07-11 2018-12-18 中国矿业大学(北京) 一种应用于三维集成电路的层分配方法
CN111063670A (zh) * 2018-10-17 2020-04-24 奇景光电股份有限公司 电路布线方法、电路布线系统以及集成电路
CN111063670B (zh) * 2018-10-17 2022-03-18 奇景光电股份有限公司 电路布线方法、电路布线系统以及集成电路

Also Published As

Publication number Publication date
TW201020835A (en) 2010-06-01
EP2308000A4 (en) 2014-05-28
US8006212B2 (en) 2011-08-23
US20100031217A1 (en) 2010-02-04
WO2010014445A2 (en) 2010-02-04
JP5290416B2 (ja) 2013-09-18
JP2011530106A (ja) 2011-12-15
TWI456421B (zh) 2014-10-11
EP2308000A2 (en) 2011-04-13
CN101821745B (zh) 2016-08-03
WO2010014445A3 (en) 2010-04-22

Similar Documents

Publication Publication Date Title
CN101821745A (zh) 用于有助于3d ic布局的方法和系统
Panth et al. Placement-driven partitioning for congestion mitigation in monolithic 3D IC designs
US6961916B2 (en) Placement method for integrated circuit design using topo-clustering
Cong et al. Thermal-aware 3D IC placement via transformation
CN101833590B (zh) 使用简化网表来生成布图规划的方法和设备
US9477801B2 (en) Multi-threaded track assignment
US20150205903A1 (en) Design automation for monolithic 3d devices
US20110055785A1 (en) Method and apparatus for performing redundant via insertion during circuit design
Guruswamy et al. CELLERITY: A fully automatic layout synthesis system for standard cell libraries
Li et al. Hierarchical 3-D floorplanning algorithm for wirelength optimization
Chen et al. FPGA placement and routing
Lin et al. qGDR: A via-minimization-oriented routing tool for large-scale superconductive single-flux-quantum circuits
Mo et al. PLA-based regular structures and their synthesis
Sinha et al. Model based layout pattern dependent metal filling algorithm for improved chip surface uniformity in the copper process
Nag et al. Performance-driven simultaneous place and route for row-based FPGAs
Tian et al. Improving simulated annealing algorithm for FPGA placement based on reinforcement learning
Saha et al. Multi-objective optimization of placement and assignment of TSVs in 3D ICs
Bubna et al. A layout-aware physical design method for constructing feasible QCA circuits
Amagasaki et al. Three Dimensional FPGA Architecture with Fewer TSVs
Wildman et al. Multi-objective optimization of interconnect geometry
US11720736B2 (en) Automation methods for 3D integrated circuits and devices
Kim et al. A timing-driven data path layout synthesis with integer programming
Pan Machine learning techniques for routability-driven routing in application-specific integrated circuits design
Melikyan Design of Digital Integrated Circuits by Improving the Characteristics of Digital Cells
DiLosa Monte Carlo implementations of statistical wiring models

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant