CN101784878B - 用于鉴别气体的化学组成的方法及设备 - Google Patents

用于鉴别气体的化学组成的方法及设备 Download PDF

Info

Publication number
CN101784878B
CN101784878B CN2008801020772A CN200880102077A CN101784878B CN 101784878 B CN101784878 B CN 101784878B CN 2008801020772 A CN2008801020772 A CN 2008801020772A CN 200880102077 A CN200880102077 A CN 200880102077A CN 101784878 B CN101784878 B CN 101784878B
Authority
CN
China
Prior art keywords
gas
plasma
atom
power
emission
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008801020772A
Other languages
English (en)
Other versions
CN101784878A (zh
Inventor
J·R·曼考斯基
B·蓝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pivotal Systems Corp
Original Assignee
Pivotal Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pivotal Systems Corp filed Critical Pivotal Systems Corp
Publication of CN101784878A publication Critical patent/CN101784878A/zh
Application granted granted Critical
Publication of CN101784878B publication Critical patent/CN101784878B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/66Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence
    • G01N21/68Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence using high frequency electric fields
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N7/00Analysing materials by measuring the pressure or volume of a gas or vapour

Landscapes

  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

本发明的实施方式涉及一种或多种气体的组成分析,例如来自等离子蚀刻或等离子增强化学气相沉积(PECVD)之类的半导体制备工艺取样得到的气体混合物的组成分析。特定实施方式向该样本的等离子体提供充足功率,以将大量分子与分子碎片解离成独立的原子。由此将充足功率(通常为3至40W/cm3的功率密度)递送入该等离子体,大部分发射峰由独立原子的发射得到,从而建立有助于简化所研究气体的化学成分的识别的光谱。气体的构成要素的此类精确识别可允许精确决定正在实施的工艺的阶段,且尤其允许检测工艺终点。

Description

用于鉴别气体的化学组成的方法及设备
相关申请交叉参考
本非临时专利申请要求2007年8月7日提交的美国临时专利申请第60/963,974号与2008年1月11日提交的美国临时专利申请第61/020,457号的优先权,该两个申请案的全部内容出于所有目的以引用方式并入本文中。
背景
集成电路或″IC″已自少数在单一硅晶片上制造的互连器件发展成数百万规模的器件。当前的IC提供远超过最初所想象的效能与复杂性。为了实现复杂性与电路密度(即能够封装于给定芯片面积上的器件数目)的改良,最小器件特征(亦称为器件″几何形状″)的尺寸已随着每一代IC的发展而变得更小。半导体器件现在是以小于四分的一微米宽的特征来制造。
仅作为一范例,蚀刻程序常用以移除或部分移除一层以自其形成结构。常使用蚀刻工具来实行蚀刻,例如干式蚀刻器或湿式蚀刻器。该湿式蚀刻器常包括容器,其中容纳蚀刻剂化学品以自另一材料选择性移除材料。该干式蚀刻器常包括等离子源与处理室。该干式蚀刻器常使用诸如含氟物质与含氯物质的气体来移除半导体材料,例如硅、或诸如铝的金属、或诸如氧化硅的介电材料。
人们已经进行了大量工作以使用即时度量来表征半导体制造工艺及此等工艺对处理的晶片的效应。与允许对晶片表面进行详细检查的移地度量法形成对比,即时度量要求原位测量,其很少允许晶片的紧密研究。因此,人们需要测量诸如递送至加工室中的功率的参数或加工室内部的气体以便推断该晶片的状态。
针对半导体工艺的即时度量的典型目标包括:识别特定的晶片状态,例如于其一特定薄膜在等离子蚀刻程序中完全蚀刻的点(终点);或表征关键工艺参数,例如沉积或蚀刻薄膜的速率。
一种测量加工室内部的气体的方法是使用分光光度计来测量自该加工室内部的等离子体发射的光。测量加工室内部的气体的另一方法是使用包括自持等离子室的系统与分光光度计来测量从该自持等离子体发射的光。例如,使用此一系统,该检测设备的自持等离子室会与该加工室流体连通,使得来自该加工室的气体可流入及/或扩散入该检测器设备的等离子室中。
在此等方法两者中,当该气体系藉由该自持等离子体激发时,一小部分气体粒子(其可包括原子、分子及分子碎片)将使其一个或多个电子激发至更高能量状态。当此电子落回其更低能量状态时,能量等于该电子损失的能量的光子从该气体粒子发射。所述各光子的能量都表现出发射该光子的粒子(原子、分子或分子碎片)的特性。
因为该光子能量表现出发射该光子的气体粒子的特性,并且在光子能量与波长(或频率,其与波长成反比)之间存在一一对应关系,故该发射的光的强度与波长的函数关系的测量可提供存在于该等离子体中的气体粒子的信息,因而提供该气体的化学组成的信息。
例如,图1显示从包含空气的等离子室获取的常规发射光谱。图1的y轴指示该发射的强度(任意单位),其一般随着该气体混合物中的发光粒子的浓度增大而增加。图1的x轴指示该发射的光的波长,其单位为纳米。
图1的光谱显示了分子形式的氮气的特性,考虑到空气包含约80%的此类分子氮,预期会产生此种光谱。然而,除揭示分子氮的存在以外,图1的发射光谱提供相对较少的信息。
具体来说,除氮以外,空气还包含约20%的氧气。然而,图1的光谱缺少存在氧的任何有意义的指示。
这是因为气体混合物通常会包含许多不同的分子及/或原子。分子形式的气体一般产生对应于对应电子跃迁的谱带组成的光谱,该等谱带包含对应振动状态的跃迁的子谱带,并且此等子谱带本身包含对应不同旋转状态的跃迁的许多独立的线。该分光光度计的有限分辨率将此等许多线一起模糊成连续谱带。虽然针对分子氮的光谱展现比大部分分子或分子碎片更多的谱带,但大部分分子形式的气体的典型光谱仍通常挤满谱带,这些气体组分的谱带一般彼此重迭。这使得当气体混合物中以分子形式的气体占主导时难以使用常规分光技术来确定气体混合物的真实化学组成。相比之下,原子的发射光谱倾向于由隔离的线组成,这些线许多都在波长上充分分离使得其可通过常规分光光度计予以解析。
使用常规分光技术的另一困难在于将光谱的峰值与特定分子或分子碎片相关联的困难。例如,自原子的发射光谱的文件极为详细且全面。特定原子发射的特定波长连同于该等特定波长的每一者的相对发射强度的极佳信息源可自国家标准与技术协会(NIST)(http://physics.nist.gov/PhysRefData/ASD/lines_form.html)获得。
相比的下,分子或分子碎片的发射光谱的文件记录要少得多。因而,即使在光谱中特定分子或分子碎片的发射信号可与其他发射信号相隔离,在许多情况下仍不能将此信息与该气体混合物的已知成分相关联。该问题由于该等离子体的高能状态而恶化,其中不稳定分子与分子碎片会在极短时间内形成并接着解离。
现有的工作已经将原子发射检测器用于诸如色谱法的分析技术。此类检测器频繁用以蒸发与分析流体,常使用大量氩气或另外的载气添加至该混合物。虽然此等方法允许原子发射的检测,但其设计成在大气压力条件下操作,并且不适合于加工室(例如该些用于半导体器件的处理的加工室)内部的气体环境的分析。
另一相关分析技术是电感耦合等离子体-光学发射光谱法(ICP-OES)。此技术使用ICP炬,其包括具有水冷RF线圈的同心配置的石英管。结合氩气载气,该ICP炬形成高温等离子体(约7,000K),其原子化并激发要分析的材料。ICP-OES经常用于痕量金属的分析。然而,由于该炬状结构、该氩气载气的高流动速率及于大气压力的操作,此技术亦不适合于在制造半导体器件期间产生的环境的分析。
使用常规分光技术的最后的一个困难是要观察的信号的强度。具体来说,随着器件尺寸缩小,所研究工艺改变的材料的面积可能仅为总体面积的极小一部分。例如,在以等离子体蚀刻通孔的常规步骤中,要移除的介电层的面积仅约为总面积的1%或更少。因为诸如光学发射光谱学(OES)或射频(RF)测量的常规测量技术测量总体等离子体状态,故来自其他干扰的效应会压倒该测量的信号。
在半导体装置中通常存在含硅介电膜(例如氮化硅、二氧化硅、掺杂的二氧化硅及低k膜)。这些含硅介电膜首先沉积为覆盖层,并接着在藉由光刻曝露的选定区域中移除。该介电层的移除系藉由蚀刻来完成,其必须以高精度实行以便不损坏该电介质下面的材料。精确蚀刻的关键系精确确定工艺的终点,即不再移除该二氧化硅的时间点。
然而,通常,所蚀刻的介电膜的曝露面积会极小(例如总面积的百分之几或更少),从而导致与此类蚀刻的终点相关的任何信号极小。(i)较小信号、(ii)信号被多个彼此重迭的频带的混淆及(iii)识别相关联于任何特定发射的气体物种的困难的组合常规上使该蚀刻程序的终点的检测极为困难。
从以上情况,可看出需要用于分析气体混合物与决定工艺终点的改良技术、系统及方法。
发明内容
本发明的实施方式涉及一种或多种气体的组分分析,例如来自诸如等离子蚀刻或等离子增强化学气相沉积(PECVD)的半导体制造工艺取样的气体混合物的组分分析。依据本发明的实施方式向该样本的等离子体提供充足的功率,以将大量分子与分子碎片解离成独立的原子。通过将充足功率(通常为3至40W/cm3的功率密度)递送至该等离子体,大部分发射信号峰由独立原子发射产生,从而建立有助于简化所研究气体的化学成分的识别的光谱。气体组成的此类精确识别可允许精确确定正在实施的工艺阶段,尤其允许检测工艺终点。
本发明的一些实施方式基于原子态硅的光学发射特征的强度的改变来检测含硅介电层的蚀刻工艺的终点。依据特定实施方式,该光学发射直接得自用以进行所需蚀刻工艺的等离子体。在其他实施方式中,该等光学发射得自从该蚀刻工艺取样的气体。在此等实施方式中,通过将充足密度的功率递送至该取样的气体以将大量分子与分子碎片解离成独立的原子。由此建立可容易地检测原子硅的发射峰值特性的强度变化的光谱。一般而言,原子硅的发射的强度的下降指示用于蚀刻含硅电介质的工艺的终点。
参考以下详细说明及附图可更充分地明白依据本发明的实施方式的各种另外的目的、特征及优点。
附图说明
图1显示空气的常规发射光谱。
图2显示使用依据本发明的检测设备的实施方式的等离子处理系统的实施方式的简化示意图。
图3是图2所示的检测设备的实施方式的详图。
图4显示与图3的检测设备一起使用的匹配电路的实施方式的图式。
图5是向等离子体输送的高功率的条件下,本发明的实施方式中空气的发射光谱。
图6是向等离子体输送较低功率的条件下,本发明的实施方式中空气的发射光谱。
图7是本发明的一个实施方式产生的等离子室清洁工艺的发射光谱。
图8是等离子室清洁工艺的常规发射光谱。
图9是本发明的一个实施方式产生的等离子蚀刻工艺的发射光谱。
图10是等离子蚀刻工艺的常规发射光谱。
图11显示原子硅的发射的波长、及相对强度特性的详细图表。
图12示意性说明用于依据本发明的实施方式使用的计算机系统。
图12A说明图12的计算机系统中的基本子系统。
具体实施方式
本发明的某些实施方式系关于在真空条件下气体或气体混合物的组成分析。依据特定实施方式,提供用于制造半导体器件的技术。更具体来说,本发明的实施方式可结合自持等离子室使用分光光度计来测量当该等离子体激发包含在被测气体中的原子及/或分子时自该等离子室发射的光的强度与波长。
可将本发明的实施方式应用于高级的集成电路的制造,例如动态随机存取存储器件、静态随机存取存储器件(SRAM)、专用集成电路器件(ASIC)、微处理器与微控制器、闪存储器件、平板显示器、MEMS(microelectromechanicalsystem;微机电系统)及其他器件。
本发明的实施方式系关于用于建立有助于识别所研究气体的化学成分的光谱的方法及设备。可藉由向等离子体提供充足功率以将大量分子与分子碎片解离成个别原子来建立此光谱。此方法基于以下认知,即:递送至等离子体中的功率不仅决定所述等离子体的发射的光的强度。具体来说,一旦施加至该等离子体的功率密度达到足够高的水平,该等离子体发射光谱的性质便会改变。如果依据本发明的实施方式将充足功率递送至该等离子体中,则大部分的发射峰将由独立的原子产生而非由分子或分子碎片的发射产生。
图2是用于处理硅晶片的本发明设备的一实施方式的简图。设备200包括加工室201,该加工室201具有壁202,所述壁封闭着设计用以支承基片206的卡盘204。室201包括入口208,其用于接收来自气体供应装置210的气体。室201还包括出口211,其与真空泵212相连。
在将基片206装载到卡盘204上之后,启动真空泵212并抽空室201。使来自气体供应装置210的气体流入该室,并且由RF源214将RF电势施加至该室以在其中产生等离子体215。对该卡盘204施加偏压可使得等离子体中的反应性物质受到吸引,撞击到基片上,并对基片上的材料进行蚀刻。
可藉由监控该等离子室中的气体的组成来辨别在图2的室中发生的蚀刻工艺的状态。具体来说,该等离子体发射的光谱可揭示其组成。因而,图2还显示依据本发明的实施方式的等离子体监控装置300,其与室201流体连通。图3显示该等离子体监控装置300的放大图。
依据本发明的图3的设备的一实施方式的基本部件包括:外壳301;等离子室302(其中包含该取样气体的分子、分子碎片及原子经受等离子体的作用,其解离足够的多原子粒子以产生由独立的原子的显著发射);凸缘303,其将该室连接至气体源;RF(射频)发生器304,其将充足功率提供至该等离子体中;以及分光光度计308,其由该等离子体发射的光产生光谱。
图3的设备还包括脉冲发生器306,其用以对该RF发生器304产生脉冲。具体来说,本发明的特定实施方式涉及允许该施加高功率的等离子室紧凑且方便使用而不要求(例如)水冷的方法及设备。具体来说,因为仅可于特定间隔(例如每秒数次)进行气体的化学组成的监控,故可以脉冲方式施加功率以仅在短暂时间周期产生高能等离子体。若引燃该等离子体的时间百分比较小,则施加至该等离子室的平均功率可以保持在较低的水平,从而使递送至该等离子室中的热量保持相对较低。
图3的设备进一步包括计算机或处理器310以运行该分光光度计。具体来说,该计算机或处理器设计用以将发射强度与该混合物中的气体的相对浓度相关。该计算机/处理器亦可设计用以基于该气体或气体混合物中的原子的相对浓度、通过气体样本决定工艺的阶段(例如终点)。具体来说,该计算机310可与计算机可读取储存介质进行电子通信,该计算机可读取储存介质上储存着编码,该编码设计用来引导该计算机实行与气体组成的检测及工艺控制相关的各种任务。下面,图12至12A呈现关于适合于实施依据本发明的实施方式的计算机系统的额外细节。
等离子室302由具有以下性质的材料组成:该材料可以可靠地耐受包含诸如氟及其他卤素、金属离子及氧离子的反应性元素的高密度等离子体,而同时不会对所监控的工艺带来任何有害污染。依据一个实施方式,曝露至该等离子体的材料包括高纯度Al2O3管302、不锈钢端片307a与307b及端片307b中的高纯度Al2O3(蓝宝石)窗316,等离子发射的光可以透过该窗,用所述分光光度计308来检测。
虽然可使用若干不同的电极结构来产生该室中的等离子体,但最简单且最有效的设计是在该Al2O3管302周围的电感线圈318。在此实施方式中,包含此线圈的导线应具有足够大的直径以传送高功率等离子体所要求的较大电流。例如,在图3的特定实施方式中,该线圈是用12美国导线直径(AWG)的磁导线制成。
图3的实施方式还显示匹配网络320的存在。虽然可使用许多类型的匹配网络,但在图4的电路400中显示一种可能的匹配网络320。选择该匹配网络的部件的主要考量因素是确保该部件可耐受与RF发生器304传送的较高功率相关的电压与电流。用于图4的实施方式中的特定电容器是购自美国纽约的亨廷顿区的美国技术陶瓷公司(American Technical Ceramics of Huntington Station)的具有至少5000V的电压等级的多层陶瓷电容器。
可依据本发明的实施方式使用许多不同类型的RF发生器。此类适合的RF发生器的供应商的范例包括诸如美国科罗拉多州科林斯堡的高等能量工业有限公司(Advanced Energy Industries,Inc.)、麻萨诸塞州威明顿的MKS仪器有限公司(MKS Instruments,Inc.)等。用于图3的特定实施方式中的RF发生器系可自新泽西州文兰(Vineland)的塞壬IPS有限公司(Seren IPS,Inc.)获得的SerenR601,其允许脉冲操作模式。
同样,可使用很多不同的分光光度计中的任一种来实施本发明的实施方式。在图3的特定实施方式中,使用可自美国佛罗里达州丹宁丁(Dunedin)的大洋光学有限公司(Ocean Optics,Inc.)获得的HR4000分光光度计。
图3的实施方式的准直透镜322用以确保仅测量接近该等离子室的X轴的等离子体的明确限定部分发射的光。通常所测量的这部分等离子体仅占总等离子体的量的百分之几。
可以任何数目的不同方法来实施该脉冲电路。最简单且最紧凑的方法中的一种使用一小组集成电路,其包括一或多个单稳态多谐振荡器。在当前实施方式中,使用74LS123双单稳态多谐振荡器。
在特定实施方式中,还可使用与该分光光度计及该发生器连通的微型控制器来完成脉冲,从而使得发生器的脉冲与分光光度计的整体循环同步化。通过使用微型控制器,获得了编程的灵活性、容易升级的能力及远程完成任务的能力。
图5显示使用图2至4所示的本发明实施方式获得的空气产生的等离子发射光谱。图5的光谱是通过一500瓦特的功率将RF能量施加至等离子室获得的。考虑到该等离子体的体积,相当于约20瓦特/cm3的功率密度。
作为比较,图1所示的常规发射光谱是通过将4瓦特的RF能量施加至具有1.8cm的长度与1.5cm的直径并因而包含约3.2cm3的体积的等离子体的圆柱形室来获得。此等于仅约1.3瓦特/cm3的功率密度。
依据本发明的实施方式,将高RF功率施加至该等离子体促使分子与分子碎片解离成独立的原子,从而产生简单得多的光谱。例如,图5的峰仅占据整个光谱的一部分,因为其在数量上较少并以原子发射光谱的特征显得较尖锐且狭窄。分子发射对图5的光谱的贡献很不明显,其中分子发射的唯一指示是600nm中间范围中的数个极小峰值、700nm中间范围中的甚至更小峰值及300nm中间范围中的几个峰。
图5的发射光谱比图1的常规光谱传递明显更多的信息。具体来说,于742nm、744nm、747nm及868nm处的尖锐峰指示自原子氮的发射。于777nm与845nm处的峰指示原子氧的发射。于656nm处的峰很可能来自空气中的少量水分的原子氢。
图6显示得自以较低功率水平操作的依据本发明的检测装置的实施方式的包含空气的等离子体的光谱。具体来说,在此情况下藉由该RF发生器递送至该等离子体的功率为180瓦特,对应于约7.2瓦特/cm3的功率密度。在图6的光谱中,可看出来自分子氮以及来自原子氮与原子氧的发射。
在图6中显示了747nm处针对原子氮及于777nm处针对原子氧的峰。在这些峰下面是分子氮产生的区域,其具有若干自约700nm至约800nm延伸的宽峰。该光谱的此部分与图1中的对应部分(对于更低功率情况)进行比较,发现看起来极为类似,不同的处在于存在原子氮与原子氧的隔离的较窄的峰。因而,可将图6中的光谱视为该分子发射(其中该光谱的形状极类似于图1中的光谱的形状)与该原子发射(具有747与777nm处的较窄峰)的重迭。
总之,与自分子与分子碎片的发射相比较,可以识别关于来自原子的发射光的三种一般类型的光谱。例如图1所示的低功率光谱在该低功率区域中产生,其中该发射主要来自分子与分子碎片,未观察到来自原子的显著信号。例如图5所示的高功率光谱在该高功率区域中产生,其中该发射光主要来自原子。例如图6所示的中等功率光谱在过渡区域中产生,其中仍存在来自分子与分子碎片的发射,但来自原子的发射较为显著。
对于一些应用而言,具有图6所示的原子发射的信号强度的发射光谱可以是足够的。在其他情况下,该原子发射可能必需比分子发射大得多,例如如图5所示。根据该系统的特定要求,可选择最佳功率水平。
依据本发明的实施方式可将充足的RF功率施加至等离子体以使得来自等离子体的光学发射的很大部分来自独立的原子。例如,依据本发明的实施方式,提供至该等离子体的功率可以使得747nm处的空气中的原子氮的发射强度为747nm处的分子氮的发射强度的至少20%。
可通过检查在关注波长周围的谱带中该分子谱带的强度来获得相关波长(例如747nm)处的分子与原子线的相对贡献的定量估计。例如,关于图1与6中的747nm区域,可看出图6的光谱是其形状可自图1的分析获得的分子光谱与对应原子氮发射的747nm处的另外窄峰的重迭。拟合该分子谱带的形状可包括高斯(Gaussian)峰的集合的重迭,该高斯峰的中心、宽度及高度通过拟合至图1的低功率光谱来决定。这可通过总体常数来缩放以向图6所示的光谱中的747nm的邻域中的分子谱带提供最佳拟合。由此可以针对于747nm处的分子贡献提供预估,其中观察的强度的余量由原子发射所致。可在该光谱的别处使用类似方法来定量地估计分子与原子发射各自独立的贡献。
依据另一实施方式,提供至该等离子体的功率可以使得在氟碳化合物/氧化学物质蚀刻氧化硅的一等离子蚀刻工艺中,248nm处来自原子碳的发射强度是520nm处来自分子CO的发射强度的至少20%。依据另一实施方式,提供至该等离子体的功率可以系使得氟碳化合物/氧化学物质蚀刻氧化硅的等离子蚀刻工艺中,251nm处来自原子硅的发射强度是440nm处来自分子SiF的发射的强度的至少20%。依据另一实施方式,提供给该等离子体的功率可以使得在氟碳化合物/氧化学蚀刻工艺中686nm处来自原子F的发射强度为520nm处来自分子CO的发射强度的至少20%。
本发明的实施方式可施加充足RF功率以达到约3至40W/cm3的功率密度,这取决于等离子体的具体组成。根据该特定实施方式,依据本发明的设备的例子可以进行设计,用以施加RF功率以实现大于约3W/cm3的功率密度、大于约5W/cm3的功率密度、大于约10W/cm3的功率密度、大于约15W/cm3的功率密度、大于约20W/cm3的功率密度、大于约25W/cm3的功率密度、大于约30W/cm3的功率密度、大于约35W/cm3的功率密度或大于约40W/cm3的功率密度。
某些气体以原子形式存在,其与是否存在等离子体无关。诸如氩与氖的惰性气体就是这种情况。然而,从一实际角度来看,依据本发明的实施方式需要采取的许多测量将属于在缺少等离子的情况下或在低功率等离子体中不会以原子形式存在的气体的混合物。
针对依据本发明的实施方式的应用的一个例子是通常用以从等离子室除去污染的等离子清洁工艺的监控。具体来说,干式清洁的目的是除去在用于半导体器件制造中的硅晶片蚀刻期间发生的等离子蚀刻产物的堆积。在干式清洁过程中,通常将氧气引入等离子蚀刻室中,并且点燃等离子体。氧与沉积于室壁上的沉积物相结合从而形成挥发性化合物,然后将挥发性化合物从室内抽出。
图7显示本发明的一个实施方式在此″干式清洁″工艺过程中,由等离子蚀刻室内部的气体混合物产生的发射光谱。在图7中,可清楚看到氧的存在,以及作为所述室壁上的堆积物的主要构成的碳、氟及氢。还存在少量氮,其通常来自大气污染。氮与碳结合,从而形成极强的键合,该键合即使在通常用于本发明的等离子功率水平下仍可以得以保持。藉由监控与所述各种原子相关的峰,可很大程度地了解关于该干式清洁的性质。例如,碳峰的强度可随着碳沉积物从室内移除,从而提供干式清洁的进程的良好的度量。
图8显示仅以约1.3W/cm3的功率密度获得的此干式清洁工艺的常规发射光谱。尽管氧构成等离子体的主要部分,但图8未明显显示存在氧的指示。此外,亦不能自此光谱很容易地辨别显示原子碳、氟及氢的的峰。
图8的光谱并不包含大量峰与谱带,其大部分是由于分子与分子碎片的存在带来的。例如,一些峰表示CO,其他峰可能指示更大的分子或分子碎片,其包含各种量的碳、氟、氧及/或氢。尝试在无数分子与分子碎片循迹分析这些元素是极其困难的任务,一些峰可能不具有任何已知识别结果的事实使其甚至更为困难。
针对依据本发明的实施方式的另一可能应用是监控使用等离子体实际蚀刻半导体基片上的一种材料的工艺的进程。图9显示在蚀刻硅晶片上的氧化硅膜过程中,等离子蚀刻室内部的气体混合物通过本发明的一个实施方式产生的光谱。图9的蚀刻使用包含10sccm(每分钟标准立方厘米)的C4F8、50sccm的CO、5sccm的O2及200sccm的Ar的氟碳化合物/氧化学物质来进行。藉由光刻胶层来保护该氧化膜的一些部分,该光刻胶层通过图案化以使得该受保护的氧化物精确地位于正确位置,以形成特定半导体器件的互连配置的一部分。
图9显示与以下元素相关的峰:碳,其来自光刻胶以及进入等离子室的气体混合物;氧,其来自氧化硅以及进入该等离子室的气体混合物;以及硅,其来自所蚀刻的氧化硅。这些峰的监控可传递关于等离子蚀刻工艺的进程的大量信息。例如,该硅峰的强度可揭示氧化物蚀刻的速率,从而提供蚀刻速率的变化的指示,以及指示何时完成该蚀刻过程(终点)。
相比之下,图10显示以较低功率获得的图9的相同气体混合物的常规发射光谱。要注意的是,图10中完全不存在关于硅或碳的任何峰。这些元素系包含于在其他波长处产生发射峰值或谱带的分子或分子碎片中。然而,从所述其他峰值识别这些元素是极其困难的。
人们需要的气体监控系统的一个特性是该等离子室紧凑且便携。理想情况下,应不要求水冷。若连续递送本发明的高功率水平,则极可能会必需进行水冷。然而,在大部分情况下,该气体组成的测量仅需要以特定间隔发生例如每秒一次、或可能每秒数次、或在一些情况下频率低得多。因为数百瓦特的功率水品可产生高水平的发射并且因为大部分分光光度计都相当灵敏,故在本发明中在数毫秒内可获得高品质光谱。因此,本发明的另一部件系使得RF发生器产生脉冲,即针对气体测量所需的每次几毫秒的周期来启动该RF发生器,其频率可以是每秒仅数次或更少。在此等情况下,递送至该等离子室中的平均功率在为输送地最大功率的1%左右。
在一个例子中,通过以脉冲方式施加RF能量来获得图5所示的发射光谱。具体来说,以每秒5次发生的2毫秒脉冲的形式来施加该RF能量。对于500瓦特的最大功率水平,由此得到5瓦特的平均功率水平。对于图7所示的发射光谱,该最大功率为300瓦特(对应于12瓦特/cm3的功率密度),该脉冲持续时间为3毫秒,并且该脉冲频率为每秒5次,从而得到4.5瓦特的平均功率水平。对于图9的发射光谱,该最大功率为300瓦特(对应于12瓦特/cm3的功率密度),该脉冲持续时间为12毫秒,并且该脉冲频率为每秒5次,从而导致18瓦特的平均功率水平。
依据本发明的实施方式,通过以脉冲方式施加RF功率可提供某些好处。一个好处是低平均功率水平,由此可以避免需要对该装置进行任何外部冷却,例如藉由要求一冷水源与特殊流体处置连接件的冷却水的循环。确实,在许多实例中,需要采用更简单类型的冷却器件(例如风扇)或甚至根本不采用冷却器件。
依据本发明的实施方式可(但不一定)以脉冲方式来施加功率。在各种实施方式中,可以约0.5毫秒至50毫秒的持续时间的脉冲来施加功率。在特定实施方式中,该脉冲可以约每秒一次至约每秒20次的频率发生。在一些情况下,该程序可缓慢改变而得到每分钟一次或甚至更少的脉冲便已足够。根据该脉冲能量的持续时间与频率,该等离子体中的平均功率可在约0.1至约50W。
依据本发明的各种实施方式可提供特定优点,例如藉由加强的、可区别、可辨别且一致的终点标记(EPI)信号指示的一清楚的终点信号。由于设置简单、无复杂演算求解法、并且不要求特殊使用者培训,因此使用方便。藉由依据本发明的特定实施方式提供的其他潜在优点可包括多功能性并因此理想地适合于不同曝露面积、节点及膜基片的多个介电蚀刻工艺,并且而具有较少乃至不具有结构变化。当然,可存在许多变更、修改及替代。
藉由依据本发明的各种实施方式的方法提供的优点可包括以下优点:
1.独立原子的发射峰已较佳地文件记录。
2.独立原子的发射峰值通常窄于针对分子与分子碎片的发射峰,后者的发射峰通常以谱带形式发射并通常存在更少的峰,因而防止来自不同原子的峰的显著重迭并使特定组分的识别变得容易得多。
3.循迹特定元素的行为变得更容易,因为不存在可能包含未知数目的特定元素的分子或分子碎片。
4.在受到监控的等离子工艺中的应用,该光谱对主要等离子体的任何变化或波动的敏感性都小得多,这是因为在发射之前藉由该主要等离子体形成的特定分子及/或分子碎片断开成原子。
可依据本发明的实施方式来实现某些好处。例如,本发明的各种实施方式针对多个工艺、技术节点、曝露面积及膜类型提供一个终点解决方式。依据本发明的实施方式可提供有效工具偏移与离群值控制,从而提高装置输出能力。本发明的实施方式还可提供终点效能的室匹配,以及当前基建设备至未来技术节点的扩展。本发明的特定实施方式可提供一种方式,在等离子环境中处理器件,其可导致更有效率的工艺与受到更好的控制的工艺。可将依据本发明的一或多个实施方式应用于各种应用,例如存储器、ASIC、微型处理器、平板显示器、MEMS及其他器件。
虽然上面显示与说明若干特定实施方式,但本发明的实施方式并不限于此。例如,虽然上面说明的范例将射频(RF)辐射的形式的能量施加于等离子室,但本发明并不限于此特定实施方式。依据本发明的替代性实施方式可使用其他形式的辐射对室施加能量,包括但不限于微波。出于此目的,定义RF能量是频率在100kHz至10GHz的范围内的电磁辐射。
此外,应明白,本发明并不限于感测基于等离子体的工艺(蚀刻或沉积工艺)的特性。相反,可依据本发明的实施方式来分析来自任何类型的制造工艺(包括半导体制造工艺)的气体成分。例如,可应用依据本发明的实施方式以检测沉积工艺的终点,该沉积程序包括但不限于等离子增强化学气相沉积(PECVD)、高密度等离子化学气相沉积(HDP-CVD)及其他形式的化学气相沉积,例如低压化学气相沉积(LP-CVD)。
本发明并不限于在任何特定压力下检测气体的组成。然而,本发明的一些实施方式可尤其非常适合于对处于低于大气压力下的气体的组成进行检测。在特定实施方式中,可在约0.1毫托与约100托间的压力范围内检测气体组成。在某些实施方式中,可于在约3毫托与约10托间的压力范围内检测气体组成。在某些实施方式中,可于在约3毫托与约500毫托间的压力范围内检测气体组成。
本发明的特定实施方式基于原子硅的光学发射特性的强度改变来检测蚀刻含硅介电层的工艺的终点。依据特定实施方式,该光学发射直接来自用以进行所需蚀刻工艺的等离子体。在其他实施方式中,该光学发射得自该蚀刻工艺取样的气体。在这些实施方式中,通过将充足功率密度的功率递送至该取样的气体以将大量分子与分子碎片解离成独立的原子。由此形成可容易地检测原子硅的发射特征峰强度变化的光谱。一般而言,原子硅的发射强度的下降表示用于蚀刻含硅电介质的工艺的终点。
含硅介电膜的主要构成组分是硅。此外,相对很少引入任何含硅气体作为用于蚀刻的化学物质的组成。因此,对蚀刻环境中的硅浓度的监控可提供用于决定达到蚀刻工艺终点时刻的直接且精确的手段。
本发明的实施方式通过检测原子硅的光学发射信号的变化来决定含硅介电膜的蚀刻的终点。以下表1提供原子硅的光学发射特征峰的波长与相对强度的列表:
表1-硅
  峰的观察波长   峰的相对强度
  243.589   300
  250.766   425
  251.508   375
  251.6870   500
  251.9960   350
  252.4867   425
  252.9269   450
  288.2424   1000
  390.6629   300
  729.1181   400
  740.7814   375
  742.5542   425
图11A显示通过原子硅的第一离子化能级发射的波长的更全面更详细的图表。此全面列表在网上自国家标准与技术协会(NIST)于以下网址:http://physics.nist.gov/asd3获得。美国马里兰州盖士堡(Gaithersburg)的国家标准与技术协会。Ralchenko,Yu.、Jou,F.-C.、Kelleher,D.E.、Kramida,A.E.、Musgrove,A.、Reader,J.、Wiese,W.L.与Olsen,K.(2007)。NIST原子光谱资料库(3.1.3版)。依据本发明的实施方式,可在蚀刻期间监控这些波长中的一或多个波长的光学强度变化,以便精确检测该工艺的终点。
依据本发明的实施方式,蚀刻二氧化硅介电材料的工艺的终点通过上面所示的原子硅的波长中的一或多个波长的强度的变化来指示。最典型的是,将藉由指示原子硅的峰值的强度的下降来揭示该蚀刻工艺的终点,因为该蚀刻化学物质与该电介质的反应的产物的含硅量将随着该介电材料耗尽而下降。发射强度下降的大小将取决于各种因素,包括所蚀刻的含硅氧化物材料的量(较大表面面积的蚀刻将产生相对较大数量的硅,并因此产生较强发射信号)。然而,在特定情况下,蚀刻工艺的终点可能导致原子硅的发射强度提高。一般而言,依据本发明的实施方式设计用以检测0.1%或更大的原子硅的特征峰强度的变化。
依据某些实施方式,可藉由监控除硅以外的其他原子物质的发射强度来决定终点。例如,在该含硅电介质包含氧化硅的情况下,除监控原子硅的一或多个发射峰以外,还可藉由监控原子氧的发射峰的强度变化来决定该蚀刻工艺的终点。
在特定实施方式中,用以蚀刻该含硅介电膜的等离子体具有充足功率,足以将大部分分子解离成其原子组分。在其他实施方式中,所蚀刻的二氧化硅可覆盖该基片的较大面积,从而导致原子硅的较强特征峰发射。在此类实施方式中,分光光度计的位置可以与加工室进行直接光学通信以测量直接来自该主要处理等离子体的原子硅的发射。在可使用具有充足功率的等离子体的情况下,刚才说明的实施方式可大大简化该终点检测装置。
然而,对于大部分等离子蚀刻工艺,施加至该处理等离子体的功率并不足以提供来自原子硅的发射的足够强的信号以允许终点的检测。这是因为高功率的施加会破坏所制造的脆弱结构。
因此,在替代性实施方式中,可通过从该室对气体取样,并接着将该取样得到的气体曝露至足够强的RF功率,以将来自该样品的分子解离成其构成原子,从而检测指示终点的原子硅的光学发射的变化。
在一个实施方式中,从RF发生器施加充足功率(例如100至500瓦特),以解离较大部分的包含硅的分子。因为该硅原子的主要来源是含硅介电膜的蚀刻,且因为所述硅原子的测量与其化学反应路径(在自该膜蚀刻之后其是否为SiF4或SiF3等形式)不相关,故原子硅的强度的测量可以作为直接并且精确测量氧化硅膜蚀刻终点的一种方式。
再来看图2的设备,可通过监控该等离子室中的气体组成来鉴别在图2的室中发生的蚀刻工艺的状态。具体来说,自该等离子体发射的光可揭示其组分。
在特定条件下,可基于自该处理等离子体的直接发射来监控图2的室中发生的蚀刻工艺的状态。因此,图2显示室中的光学窗213,其与设计用以检测表1中与图11中列出的波长中的一或多个波长的发射强度的一分光光度计217光学连通。
然而,在更一般的情况下,在该室中存在的处理等离子体的能量并不足够高到能够促使大部分分子与分子碎片解离成其组成原子。因此,图2还显示依据本发明的实施方式的等离子监控设备300,其与室201流体连通。图3显示该等离子监控设备300的放大图。
依据本发明的实施方式可将充足RF功率施加至该等离子体,以使得等离子体产生的光学发射的很大部分来自独立的原子。例如,依据本发明的一个实施方式,提供至等离子体的功率可以使得氟碳化合物/氧化学物质蚀刻氧化硅的等离子蚀刻工艺中,251nm处的来自原子硅的发射强度为440nm处的来自分子SiF的发射强度的至少20%。
针对依据本发明的实施方式的可能应用是监控使用等离子体来实际蚀刻半导体基片上的材料的工艺的进程。图9显示在蚀刻硅晶片上的氧化硅膜过程中,等离子蚀刻室内部的气体混合物根据本发明的一个实施方式产生的光谱。图9的蚀刻使用包含10sccm(每分钟标准立方厘米)的C4F8、50sccm的CO、5sccm的O2及200sccm的Ar的氟碳化合物/氧化学物质进行。使用光刻胶层来保护该氧化膜的一些部分,该光刻胶层进行图案化,以使得该受保护的氧化物将精确存在于正确位置中,以形成特定半导体器件的互连设置的一部分。
图9显示与以下元素相关的峰:碳,其来自光刻胶以及进入该等离子室的气体混合物;氧,其来自氧化硅以及进入该等离子室的气体混合物;以及硅,其来自所蚀刻的氧化硅。通过对这些峰进行监控,可传递关于该等离子蚀刻工艺的进程的大量信息。例如,硅峰的强度可揭示氧化物蚀刻的速率,从而提供该蚀刻速率的变化的指示,以及指示何时完成该蚀刻工艺(终点)。
作为比较,图10显示以较低功率获得的图9的相同气体混合物的常规发射光谱。要注意的是,图10中不存在与硅或碳相关的任何可辨别的峰。这些元素包含于在其他波长处产生发射峰或谱带的分子或分子碎片中。然而,通过所述其他峰识别这些元素是极其困难的。
可将本发明的实施方式应用于高级集成电路的制造,例如动态随机存取存储器件、静态随机存取存储器件(SRAM)、特定应用集成电路器件(ASIC)、微处理器与微控制器、闪存储器件、平板显示器、MEMS及其他器件。
依据本发明的实施方式并不限于仅识别工艺中的终点。依据替代性实施方式,可决定蚀刻速率,可测量各种气体的浓度,并可识别痕量污染(例如来自至该加工室中的泄漏的污染)。
并且虽然以上范例说明基于硅含量的终点检测,但本发明并不限于检测此特定元素。替代性实施方式可检测其他元素的发射特性,并保持于本发明的范畴内。例如,下面的表2至12提供分别针对碳、氧、氮、氟、氢、磷、碘、氯、锗、铪及镓的发射光谱的更全面列表。
表2-碳
  峰的观察波长   峰的相对强度
  247.856   800
  538.034   300
  601.322   300
  833.515   520
  906.143   250
  908.851   250
  909.483   450
  911.18   300
  940.573   800
  962.08   250
  965.844   300
  1069.125   300
表3-氧
  峰的观察波长   峰的相对强度
  777.194   870
  777.417   810
  777.539   750
  844.625   810
  844.636   1000
  844.676   935
  926.277   590
  926.601   640
  1128.691   640
  1316.389   700
  1316.485   750
  1316.511   640
表4-氮
  峰的观察波长   峰的相对强度
  575.25   700
  742.364   685
  744.229   785
  746.831   900
  859.4   570
  862.924   650
  868.028   700
  868.34   650
  871.17   570
  939.279   570
  1246.962   920
  1358.133   840
表5-氟
  峰的观察波长   峰的相对强度
  623.965   13000
  634.851   10000
  683.426   9000
  685.603   50000
  690.248   15000
  703.747   45000
  712.789   30000
  720.236   15000
  731.102   15000
  739.869   10000
  775.47   18000
  780.021   15000
表6-氢
  峰的观察波长   峰的相对强度
  388.9049   6
  397.0072   8
  410.174   15
  434.047   30
  486.133   80
  656.272   120
  656.2852   180
  954.597   5
  1004.94   7
  1093.81   12
  1281.81   20
  1875.10   40
表7-磷
  峰的观察波长   峰的相对强度
  944.186   950
  930.494   1250
  949.356   1250
  952.573   1700
  954.518   1500
  956.3439   1700
  973.475   1500
  975.077   1500
  979.685   1700
  1052.952   962
  1058.157   1235
  1648.292   1627
表8-碘
  峰的观察波长   峰的相对强度
  511.929   10000
  661.966   5000
  740.206   5000
  746.899   5000
  804.374   99000
  839.33   10000
  902.24   5000
  905.833   15000
  911.391   12000
  942.671   4000
  973.173   5000
  1046.654   5000
表9-氯
  峰的观察波长   峰的相对强度
  725.662   7500
  754.7072   11000
  771.7581   7000
  774.497   10000
  821.204   18000
  822.174   20000
  833.331   18000
  837.594   99900
  842.825   15000
  857.524   20000
  858.597   75000
  912.115   7500
表10-锗
  峰的观察波长   峰的相对强度
  201.9068   1700
  204.1712   2400
  204.377   1600
  206.5215   750
  206.8656   2600
  209.4258   2000
  265.1172   1200
  270.9624   850
  275.4588   650
  303.9067   750
  1206.920   1300
  1239.158   1050
表11-铪
  峰的观察波长   峰的相对强度
  286.637   2100
  289.826   1800
  291.648   2000
  294.077   2000
  295.068   1200
  296.488   1400
  302.053   1200
  307.288   2100
  368.224   2200
  377.764   1400
  378.546   1400
  382.073   1300
表12-镓
  峰的观察波长   峰的相对强度
  639.6561   9
  725.14   10
  740.3   20
  746.4   30
  762.05   10
  773.477   50
  780.001   100
  800.255   15
  807.425   20
  838.649   7
  1194.912   10
  1210.978   9
如上面所详细说明,依据本发明的实施方式尤其适合于结合计算机的实施方案。图12是依据本发明的一个实施方式的用于处理信息的计算装置的简化图。此图式仅出于示例目的,其不应限制本文申请专利范围的范畴。本领域普通技术人员会认识到许多其他变更、修改及替代。依据本发明的实施方式可以单一应用程式(例如浏览器)予以实施,或可以为分散式计算环境中的多个程式的方式实施,例如成为客户端服务器器关系的工作站、个人计算机或远端终端机。
图12显示计算机系统1210,其包括显示器件1220、显示屏幕1230、机箱1240、键盘1250及鼠标1270。鼠标1270与键盘1250是代表性的″使用者输入器件″。鼠标1270包括按钮1280,其用于选择图形使用者介面器件上的按钮。使用者输入器件的其他范例包括触摸屏、光笔、跟踪球、数据手套、麦克风等等。图12仅显示代表性的用于实施本发明的一种类型的系统。本领域普通技术人员应容易地明白许多系统类型与构型适合于结合本发明使用。在一较佳实施方式中,计算机系统1210包括基于奔腾类的计算机,其运行微软公司的Windows XP操作系统。然而,本领域普通技术人员可将该装置容易地调适成其他作业系统而不脱离本发明的范畴。
如所示,鼠标1270可具有一个或多个按钮,例如按钮1280。机箱1240容纳为人熟知的计算机组件,例如磁盘驱动器、处理器、储存器件等。储存器件包括但不限于磁盘驱动器、磁带、固体存储器、磁泡存储器等。机箱1240可包括另外的硬件,例如输入/输出(I/O)介面卡,其用于将计算机系统1210连接至外部器件、外部存储器、其他计算机或额外周边器件,下面进一步说明。
图12A说明图12的计算机系统1210中的基本子系统。此图式仅是说明性的,不应限制本文申请专利范围的范畴。本领域普通技术人员将认识到其他变更、修改及替代。在特定实施方式中,该子系统由系统总线1275来互连。显示额外子系统,例如打印机1274、键盘1278、硬盘1279、监视器1276(其与显示适配器1282相连)及其他子系统。可藉由本领域已知的任何数目的构件(例如串行端口1277)将周边器件与输入/输出(I/O)器件(其与I/O控制器1271相连)连接至该计算机系统。例如,可使用串行端口1277将该计算机系统连接至调制解调器1281(其进而连接至诸如因特网的广域网)、鼠标输入器件或扫描仪。经由系统总线的互连允许中央处理器1273与各子系统通信并控制来自系统存储器1272或硬盘1279的指令的执行,以及子系统的间的信息交换。本领域普通技术人员可容易地实现子系统与互连的其他配置。系统存储器与硬盘是用于储存计算机程序的有形介质的范例,其他类型的有形介质包括软盘、移动硬盘、光学储存介质(例如CD-ROM(compact disc ROM)与条形码)及半导体存储器(例如闪存、只读存储器(ROM)及电池支持的存储器)。
应明白,本文说明的范例与实施方式仅出于说明性目的,并且将对本领域普通技术人员建议根据其的各种修改或改变,并且该等修改或改变要都包括于本申请案的精神与范围及随附权利要求书的范畴内。

Claims (25)

1.一种鉴别气体的化学组成的方法,其包括:
从在低于大气压力条件下运作的加工室取样得到分子形式的气体;
对所述气体施加功率,使得从该气体的等离子体发出的光学发射中的很大部分来自独立的原子;以及
由所述光学发射来决定所述气体的原子的相对浓度。
2.如权利要求1所述的方法,其特征在于,所述施加的功率使得来自原子的发射强度为来自分子形式的所述气体的发射强度的至少20%。
3.如权利要求1所述的方法,其特征在于,所述施加的功率使得747nm处的来自空气中的原子氮的发射强度为747nm处的来自分子氮的发射强度的至少20%。
4.如权利要求1所述的方法,其特征在于,所述施加的功率使得对于在氟碳化合物和氧化学物质中蚀刻氧化硅的等离子蚀刻工艺,248nm处的来自原子碳的发射强度为520nm处的来自分子CO的发射强度的至少20%。
5.如权利要求1所述的方法,其特征在于,所述施加的功率使得对于在氟碳化合物和氧化学物质中蚀刻氧化硅的一等离子蚀刻工艺,251nm处的来自原子硅的发射强度为440nm处的来自分子SiF的发射强度的至少20%。
6.如权利要求1所述的方法,其特征在于,所述施加的功率使得在氟碳化合物和氧化学物质中,686nm处的来自原子F的发射强度为520nm处的来自分子CO的发射强度的至少20%。
7.如权利要求1所述的方法,其特征在于,所述等离子体曝露于3W/cm3或更大的功率密度。
8.如权利要求1所述的方法,其特征在于,所述等离子体曝露于5W/cm3或更大的功率密度。
9.如权利要求1所述的方法,其特征在于,所述等离子体曝露于10W/cm3或更大的功率密度。
10.如权利要求1所述的方法,其特征在于,所述等离子体曝露于20W/cm3或更大的功率密度。
11.如权利要求1所述的方法,其特征在于,所述等离子体曝露于3至40W/cm3的功率密度。
12.如权利要求1所述的方法,其特征在于,所述该功率是连续施加的。
13.如权利要求1所述的方法,其特征在于,所述功率是以脉冲形式施加的。
14.如权利要求13所述的方法,其特征在于,所述脉冲的持续时间为0.5至50毫秒。
15.如权利要求13所述的方法,其特征在于,所述脉冲以每分钟一次至每秒20次的频率发生。
16.如权利要求1所述的方法,其特征在于,所述气体是从半导体加工室取样得到的。
17.如权利要求16所述的方法,其进一步包括基于所述气体的原子的相对浓度来确定发生于所述半导体加工室中的工艺的终点。
18.一种鉴别气体的化学组成的方法,其包括:
从在低于大气压力的条件下运作的加工室取样得到分子形式的气体;
将该气体曝露于大于3W/cm3的RF功率密度以形成等离子体;
测量来自所述等离子体的光学发射;以及
由所述光学发射决定所述气体的原子的相对浓度。
19.如权利要求18所述的方法,其特征在于,所述气体是从其中在进行半导体制造工艺的加工室取样得到的。
20.如权利要求18所述的方法,其进一步包括基于所述气体的原子的相对浓度来确定半导体制造工艺的终点。
21.如权利要求18所述的方法,其特征在于,所述RF功率密度以脉冲的形式施加。
22.如权利要求21所述的方法,其特征在于,所述脉冲的持续时间为0.5至50毫秒。
23.如权利要求21所述的方法,其特征在于,所述脉冲以每分钟一次至每秒20次的频率发生。
24.一种鉴别气体的化学组成的设备,其包括:
主计算机,其与加工室的光学发射检测器及计算机可读取存储介质电子连通,该计算机可读取存储介质上具有存储的编码,该编码设计用以控制该主计算机进行以下操作:
从在低于大气压力的条件下运作的加工室取样得到分子形式的气体;
将充足功率施加至该气体,使得来自该气体的等离子体的光学发射中的很大部分来自独立的原子;以及
由所述光学发射来决定该气体的原子相对浓度。
25.如权利要求24所述的设备,其特征在于,所述计算机可读取存储介质上存储有编码,其设计用以控制该主计算机基于由所述光学发射决定的原子硅的相对浓度来确定蚀刻工艺的终点。
CN2008801020772A 2007-08-07 2008-08-01 用于鉴别气体的化学组成的方法及设备 Active CN101784878B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US96397407P 2007-08-07 2007-08-07
US60/963,974 2007-08-07
US2045708P 2008-01-11 2008-01-11
US61/020,457 2008-01-11
PCT/US2008/072008 WO2009020881A1 (en) 2007-08-07 2008-08-01 Method and apparatus for identifying the chemical composition of a gas

Publications (2)

Publication Number Publication Date
CN101784878A CN101784878A (zh) 2010-07-21
CN101784878B true CN101784878B (zh) 2012-08-08

Family

ID=40341669

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008801020772A Active CN101784878B (zh) 2007-08-07 2008-08-01 用于鉴别气体的化学组成的方法及设备

Country Status (7)

Country Link
US (2) US7940395B2 (zh)
EP (1) EP2185909A4 (zh)
JP (1) JP2010539443A (zh)
KR (1) KR20100065321A (zh)
CN (1) CN101784878B (zh)
TW (1) TWI396224B (zh)
WO (1) WO2009020881A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8716655B2 (en) * 2009-07-02 2014-05-06 Tricorntech Corporation Integrated ion separation spectrometer
US20120129318A1 (en) * 2010-11-24 2012-05-24 Semiconductor Energy Laboratory Co., Ltd. Atmospheric pressure plasma etching apparatus and method for manufacturing soi substrate
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
JP6095901B2 (ja) * 2012-05-24 2017-03-15 株式会社Ihi 物質特定装置および物質特定方法
KR101791870B1 (ko) * 2013-02-19 2017-11-02 세메스 주식회사 검사 방법, 이를 포함하는 기판 처리 방법 및 기판 처리 장치
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
EP3204741A4 (en) * 2014-10-10 2018-06-06 Orthobond, Inc. Method for detecting and analyzing surface films
JP6785848B2 (ja) * 2015-12-30 2020-11-18 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. ミリ秒アニールシステムのためのガスフロー制御
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US10983538B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
WO2018217466A2 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Measuring concentrations of radicals in semiconductor processing
JP2020136473A (ja) * 2019-02-19 2020-08-31 株式会社東芝 半導体装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1380541A (zh) * 2001-04-10 2002-11-20 华邦电子股份有限公司 以光学方法测量温度并监控蚀刻率的方法
US7052943B2 (en) * 2001-03-16 2006-05-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
CN1898547A (zh) * 2003-10-28 2007-01-17 兰姆研究有限公司 用于蚀刻终点检测的方法和设备
CN1967786A (zh) * 2005-11-17 2007-05-23 东京毅力科创株式会社 等离子体蚀刻方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0722151B2 (ja) * 1984-05-23 1995-03-08 株式会社日立製作所 エツチングモニタ−方法
US5405488A (en) * 1993-09-13 1995-04-11 Vlsi Technology, Inc. System and method for plasma etching endpoint detection
JP3333657B2 (ja) * 1995-02-10 2002-10-15 サイエンステクノロジー株式会社 気相エッチング装置及び気相エッチング方法
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
JPH11102895A (ja) * 1997-09-29 1999-04-13 Fujitsu Ltd 半導体装置の製造方法
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
ATE376177T1 (de) * 1999-07-23 2007-11-15 Efthimion Emerging Ind Llc Vorrichtung zur kontinuierlichen überwachung von emissionen verschiedener metalle in rauhen umgebungen
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
JP2002270574A (ja) * 2001-03-07 2002-09-20 Hitachi Kokusai Electric Inc プラズマエッチング装置
KR100426988B1 (ko) * 2001-11-08 2004-04-14 삼성전자주식회사 반도체 제조장비의 식각 종말점 검출장치 및 그에 따른검출방법
US20030129117A1 (en) * 2002-01-02 2003-07-10 Mills Randell L. Synthesis and characterization of a highly stable amorphous silicon hydride as the product of a catalytic hydrogen plasma reaction
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
EP1697727B1 (en) * 2003-07-25 2007-10-03 Lightwind Corporation Method and apparatus for monitoring chemical processes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7052943B2 (en) * 2001-03-16 2006-05-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
CN1380541A (zh) * 2001-04-10 2002-11-20 华邦电子股份有限公司 以光学方法测量温度并监控蚀刻率的方法
CN1898547A (zh) * 2003-10-28 2007-01-17 兰姆研究有限公司 用于蚀刻终点检测的方法和设备
CN1967786A (zh) * 2005-11-17 2007-05-23 东京毅力科创株式会社 等离子体蚀刻方法

Also Published As

Publication number Publication date
WO2009020881A1 (en) 2009-02-12
US8237928B2 (en) 2012-08-07
US7940395B2 (en) 2011-05-10
TW200917330A (en) 2009-04-16
TWI396224B (zh) 2013-05-11
JP2010539443A (ja) 2010-12-16
EP2185909A4 (en) 2015-08-05
US20090180113A1 (en) 2009-07-16
EP2185909A1 (en) 2010-05-19
CN101784878A (zh) 2010-07-21
US20110177625A1 (en) 2011-07-21
KR20100065321A (ko) 2010-06-16

Similar Documents

Publication Publication Date Title
CN101784878B (zh) 用于鉴别气体的化学组成的方法及设备
US6506341B2 (en) Chemiluminescence detection apparatus
KR101570552B1 (ko) 웨이퍼 플라즈마 처리에서 미량 가스 농도의 모니터링을 통한 아킹 이벤트의 검출
CN110268508A (zh) 等离子体处理方法
Schröder et al. Influence of target surfaces on the atomic oxygen distribution in the effluent of a micro-scaled atmospheric pressure plasma jet
Škoro et al. Characterization and global modelling of low-pressure hydrogen-based RF plasmas suitable for surface cleaning processes
Stillahn et al. Plasma diagnostics for unraveling process chemistry
Tanarro et al. Cold plasma chemistry and diagnostics
US10636686B2 (en) Method monitoring chamber drift
Steffens et al. Planar laser-induced fluorescence of CF 2 in O 2/CF 4 and O 2/C 2 F 6 chamber-cleaning plasmas: Spatial uniformity and comparison to electrical measurements
US7695984B1 (en) Use of modeled parameters for real-time semiconductor process metrology applied to semiconductor processes
Jang et al. Real‐Time Endpoint Detection of Small Exposed Area Si O 2 Films in Plasma Etching Using Plasma Impedance Monitoring with Modified Principal Component Analysis
Kim et al. Analysis of optical emission spectroscopy data during silicon etching in SF6/O2/Ar plasma
Gamaleev et al. Detection of metal contaminants in seawater by spectral analysis of microarc discharge
Hebner et al. Influence of surface material on the boron chloride density in inductively coupled discharges
Kuboi et al. Mass spectroscopic measurement of time-varying ion composition in a pulse-modulated Ar/C4F8/O2 dual-frequency capacitively coupled plasma
Hussein et al. Particle control in dielectric etch chamber
de Castro et al. End-point detection of polymer etching using Langmuir probes
JP2000124198A (ja) プラズマエッチング装置及びプラズマエッチング方法
Bogomolov Management of process of plasma etching of silicon
Mahzoon In situ Measurement of Plasma Etch Rate Using EtchRate Wafer
Stephan Thamban et al. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy
Arora Diagnostic Studies of Silicon and Silicon Dioxide Etching in Fluorine and Chlorine-Containing Inductively Coupled Plasmas
Helal Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas
JPH08203865A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant