CN101676799B - 基材的蚀刻方法 - Google Patents

基材的蚀刻方法 Download PDF

Info

Publication number
CN101676799B
CN101676799B CN200910163590.9A CN200910163590A CN101676799B CN 101676799 B CN101676799 B CN 101676799B CN 200910163590 A CN200910163590 A CN 200910163590A CN 101676799 B CN101676799 B CN 101676799B
Authority
CN
China
Prior art keywords
base material
photoresist
etching liquid
chemical etching
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910163590.9A
Other languages
English (en)
Other versions
CN101676799A (zh
Inventor
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101676799A publication Critical patent/CN101676799A/zh
Application granted granted Critical
Publication of CN101676799B publication Critical patent/CN101676799B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Weting (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种基材的蚀刻方法,包含在基材上形成一光致抗蚀剂图案;对此基材施予化学蚀刻液体,其中此化学蚀刻液体包含扩散控制材料;移除化学蚀刻液体;及移除光致抗蚀剂。本发明的优点包含改善向下及横向的蚀刻速率,且没有化学品渗透至光致抗蚀剂所覆盖的基材;以聚合物控制有效控制化学性质来控制蚀刻速率;以聚合物的混合化学品来控制酸扩散长度以减少毛细力或渗透现象;使用加热板以使基材达到较高的温度。此外,在烘烤工艺之后,可消除聚合物残余物。顺应性蚀刻材料可在相同的蚀刻工艺及时间中针对不同目的来结合不同的化学品。

Description

基材的蚀刻方法
技术领域
本发明涉及一种蚀刻方法,且特别涉及一种以扩散控制材料进行湿式蚀刻的方法。
背景技术
为了制造集成电路,半导体工艺中是以光学光刻工艺来图案化各种材料层。光学光刻工艺包含光致抗蚀剂(phototresist;resist)涂布、曝光及显影(developing)。目前,当在含有材料层(例如金属薄膜)的晶片基材上形成光致抗蚀剂图案时,基材可能会遭到湿式化学品蚀刻,因而需于随后作额外的润洗。
然而,现有的湿式化学蚀刻剂具有下列各种问题。湿式化学蚀刻不具有良好的选择性及具有显著的横向蚀刻。换句话说,位于光致抗蚀剂图案底下的薄膜实质上并未被光致抗蚀剂保护而受到蚀刻,甚或造成光致抗蚀剂剥落。此外,由于横向蚀刻,光致抗蚀剂图案不能适当转移至其底下的薄膜。由于湿式化学蚀刻的速率非常快,即使稀释蚀刻浓度或降温,无法对蚀刻速率有良好的控制,这更恶化了横向蚀刻的问题。湿式蚀刻具有高渗透能力,例如毛细力(capillary force),能渗透至光致抗蚀剂与基材上的材料层之间的界面。这些化学渗透可能也造成光致抗蚀剂剥离及对于在光致抗蚀剂图案底下的材料层造成预期外的蚀刻效应。
发明内容
为了解决现有技术中存在的上述问题,本发明提供一种基材的蚀刻方法,包括:形成一光致抗蚀剂图案于该基材上;对该基材施予一化学蚀刻液体,其中该化学蚀刻液体包含一扩散控制材料;移除该化学蚀刻液体;以及移除该光致抗蚀剂图案。
本发明也提供一种基材的蚀刻方法,包括:形成一光致抗蚀剂图案于该基材上,该基材具有一高介电材料层及一位于该高介电材料层上的金属层;对该金属层施予一化学蚀刻液体,其中该化学蚀刻液体包含一添加剂以增加该化学蚀刻液体的黏度;移除该化学蚀刻液体;以及移除该光致抗蚀剂图案。
本发明的优点包含改善向下及横向的蚀刻速率,且没有化学品渗透至光致抗蚀剂所覆盖的基材;以聚合物控制有效控制化学性质来控制蚀刻速率;以聚合物的混合化学品来控制酸扩散长度以减少毛细力或渗透现象;使用加热板以使基材达到较高的温度。此外,在烘烤工艺之后,可消除聚合物残余物。顺应性蚀刻材料可在相同的蚀刻工艺及时问中针对不同目的来结合不同的化学品。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合附图,作详细说明如下。
附图说明
图1a~图6c为本发明所述的各种实施例中半导体结构于各种制造阶段一系列剖面图。
上述附图中的附图标记说明如下:
2~第一材料层
4~第二材料层
6~图案化光致抗蚀剂
8~有机残留物
9~湿式化学蚀刻剂
12、14、16~顺应性蚀刻材料
具体实施方式
本发明接下来将会提供许多不同的实施例以实施本发明中不同的特征。各特定实施例中的组成及配置将会在以下作描述以简化本发明,但这些实施例并非用于限定本发明。此外,在本说明书的各种例子中可能会出现重复的元件符号以便简化描述,但这不代表在各个实施例和/或附图之间有何特定的关连。再者,当提到某一层在另一层“之上”或“上方”,可代表两层之间直接接触或中间更插有其他元件或膜层。
图1a至图1c为一实施例中半导体结构于各种制造阶段时的剖面图。参见图1a,半导体结构包含半导体晶片、第一材料层2(或“基材1”)位于第二材料层4上(或“基材2”),且第二材料层4位于晶片上。第一材料层2包含金属薄膜、金属氧化物或氧化物。第二材料层4包含氧化物、金属氧化物、金属或硅。在基材1上形成图案化光致抗蚀剂6以图案化第一材料层2或也一并图案化第二材料层4。使用传统蚀刻溶液对第一材料层进行湿式蚀刻工艺,并将图案化光致抗蚀剂开口内的第一材料层移除,如图1b所示。接着,在湿式蚀刻工艺之后将光致抗蚀剂剥除。然而,如图1c所示,在剥除光致抗蚀剂之后可能会在光致抗蚀剂的侧壁区域存在有机残留物8。
图2a至图2d为一实施例中半导体结构于各种制造阶段时的剖面图。半导体结构包含半导体晶片、第一材料层2(或“基材1”)位于第二材料层4上(或“基材2”),且第二材料层4位于晶片上。第一材料层2包含金属薄膜、金属氧化物或氧化物。第二材料层4包含氧化物、金属氧化物、金属或硅。
在基材1上形成图案化光致抗蚀剂6以图案化第一材料层2或也一并图案化第二材料层4。使用具有扩散控制材料掺入至蚀刻溶液中的顺应性蚀刻材料(溶液)12,对第一材料层进行湿式蚀刻工艺,并将图案化光致抗蚀剂开口内的第一材料层移除。扩散控制材料为物理性的分布于蚀刻溶液中或化学性的附加于蚀刻剂上,其可调控用以增加蚀刻溶液的黏度及改变蚀刻溶液的化学性质以减少渗透及横向蚀刻速率。完成蚀刻之后,可进行润洗步骤以移除蚀刻溶液。接着,在湿式蚀刻工艺之后,将图案化光致抗蚀剂剥除。在此情况下,消除了在光致抗蚀剂剥除之后所剩余的有机残留物。
图3a至图3c为另一实施例中半导体结构于各种制造阶段时的剖面图。半导体结构包含半导体晶片、第一材料层2(或“基材1”)位于第二材料层4上(或“基材2”),且第二材料层4位于晶片上。第一材料层2包含金属薄膜、金属氧化物或氧化物。第二材料层4包含金属、金属氧化物、氧化物或硅。在第一材料层2上形成图案化光致抗蚀剂6以图案化第一材料层2或也一并图案化第二材料层4。对第一材料层进行传统湿式化学蚀刻工艺以移除图案化光致抗蚀剂开口内的第一材料层2。接着,在湿式化学蚀刻工艺之后,将图案化光致抗蚀剂剥除。然而,湿式蚀刻化学品9会渗透至第一材料层2与光致抗蚀剂6之间或第一材料层2与第二材料层4之间的界面,如图3c所示,其为图3b中半导体结构的一部分。在渗透区域内的第一材料层2即遭到蚀刻,同时也减弱了在此区域的光致抗蚀剂层的黏性。因此,光致抗蚀剂即会在进行湿式化学蚀刻时或于其后剥离,如图3b所示。在图案化光致抗蚀剂层6开口的边缘下方的第一材料层2的表面遭到破坏,光致抗蚀剂图案无法适当地转移至晶片上。
图4a至图4c为一实施例中半导体结构于各种制造阶段时的剖面图。半导体结构包含半导体晶片、第一材料层2位于第二材料层4上,且第二材料层4位于晶片上。第一材料层2包含金属薄膜、金属氧化物或氧化物。第二材料层4包含金属、金属氧化物、氧化物或硅。在第一材料层2上形成图案化光致抗蚀剂6以图案化第一材料层2或也一并图案化第二材料层4。使用顺应性蚀刻材料14,对第一材料层2进行湿式化学蚀刻工艺以移除图案化光致抗蚀剂6开口内的第一材料层2。顺应性蚀刻材料14包含仅可短距离扩散的蚀刻化学品。因此,第一材料层2被蚀刻成具有顺应轮廓,且没有渗透至光致抗蚀剂图案6与第一材料层2之间或第一材料层2与第二材料层4之间的界面,如图4b所示,或还可参考图4c,其为图4b中半导体结构的一部分。完成蚀刻之后,可进行润洗步骤以移除蚀刻溶液。接着,在湿式蚀刻工艺之后,将图案化光致抗蚀剂移除。
图5a至图5c为另一实施例中半导体结构于各种制造阶段时的剖面图。半导体结构包含半导体晶片、第一材料层2位于第二材料层4上,且第二材料层4位于晶片上。第一材料层2包含金属薄膜、金属氧化物或氧化物。第二材料层4包含金属、金属氧化物、氧化物或硅。在第一材料层2上形成图案化光致抗蚀剂6以图案化第一材料层2或也一并图案化第二材料层4。对第一材料层进行传统湿式化学蚀刻工艺,以移除由光致抗蚀剂所定义出的开口之内的第一材料层2。接着,在湿式蚀刻工艺之后,将图案化光致抗蚀剂移除。然而,由于传统湿式化学品具有好的流体动力(hydrodynamic flow)及高蚀刻速率,可不断供应新鲜的化学品至横向区域中,因而传统湿式蚀刻化学品会渗透至基材及光致抗蚀剂之间的界面。因此,在光致抗蚀剂底下的横向区域遭到高蚀刻速率的蚀刻,造成图案转移失败,如图5b所示,或还可参考图5c,其为图5b中半导体结构的一部分。
图6a至图6c为一实施例中半导体结构于各种制造阶段时的剖面图。半导体结构包含半导体晶片、第一材料层2位于第二材料层4上,且第二材料层4位于晶片上。第一材料层2包含金属薄膜、金属氧化物或氧化物。第二材料层4包含金属、金属氧化物、氧化物或硅。在第一材料层2上形成图案化光致抗蚀剂6以图案化第一材料层2或也一并图案化第二材料层4。使用顺应性蚀刻材料16,对第一材料层2进行湿式化学蚀刻工艺以移除图案化光致抗蚀剂6开口内的第一材料层2。顺应性蚀刻材料14包含减缓扩散能力的蚀刻化学品。因此,第一材料层2具有顺应性的蚀刻轮廓且不具有因横向蚀刻造成的凹陷,如图6b所示,或更可参考图6c,其为图6b中半导体结构的一部分。完成蚀刻之后,可进行润行步骤以移除蚀刻溶液。接着,在湿式蚀刻工艺之后,将图案化光致抗蚀剂剥除。在此情况下,消除了在光致抗蚀剂剥除之后所剩余的有机残留物。
在本方法中,顺应性蚀刻材料是使用图案化光致抗蚀剂为蚀刻掩模以图案化材料层。顺应性蚀刻材料为以聚合物为主(polymer-based)的蚀刻剂,在蚀刻工艺中具有显著的黏度控制能力及扩散控制能力。在各种实施例中,顺应性蚀刻材料包含以聚合物为主的扩散控制材料以物理性的分布于蚀刻溶液中或以化学性的附加于蚀刻剂上,以调控顺应性蚀刻材料能具有较高的黏度、立体效应(stereo effect)、离子吸引力造成的阻滞、化学键结于蚀刻剂造成的阻滞或表面张力以减缓蚀刻溶液的渗透及横向蚀刻速率。
在各种实施例中,顺应性蚀刻材料包含酸性聚合物。在一实施例中,酸性聚合物为具有酸性官能基的聚合物。酸性官能基可为羧酸基(carboxylic)、内酯基(lactone)、羟基(hydroxyl)或氟醇基(fluoro-alcohol)。在另一实施例中,此酸性聚合物可为具有酸性分子添加剂的聚合物。酸性分子添加剂可为光酸产生剂(photo-acid generato;PAG)、全氟辛烷磺酸盐(PFOS)、全氟丁烷磺酸盐(PFBS)、全氟烷磺酸分子(perfluoroalkyl sulfonate molecule)、盐酸(HCl)、氢氟酸(HF)、硫酸(H2SO4)、硝酸(HNO3)或醋酸(CH3COOH)。在另一实施例中,顺应性蚀刻材料包含具有特别的反应官能基(例如具有孤对电子的三级胺结构;N tertiary structure)的聚合物。在另一实施例中,顺应性蚀刻材料包含弱酸溶液,例如二氧化碳水(CO2 water)。在另一实施例中,顺应性蚀刻材料包含巨酸溶液(bulky acid solution),例如醋酸水(CH3COOH water)。在另一实施例中,顺应性蚀刻材料具有大于约80dyne/cm2的表面张力系数。
顺应性蚀刻材料于各种应用中可额外包含盐酸(HCl)/水的混合物、盐酸(HCl)/过氧化氢/水的混合物、氢氧化铵(NH4OH)/过氧化氢/水的混合物、硝酸(HNO3)/氢氟酸(HF)/醋酸的混合物、氢氟酸(HF)/水的混合物、氢氧化四甲基铵(TMAH)/水的混合物。在另一实施例中,当对基材施予顺应性蚀刻材料时或其后,可加热晶片或顺应性蚀刻溶液。蚀刻工艺的温度约在摄氏5至120度之间。
在各种实施例中,形成在基材上及受顺应性蚀刻材料蚀刻的材料层择自下列金属材料组成的群:LaxOy、HfxOy、AlxOy、TixOy、TixNy、TaN、W、WxOy、Ti及Al。在其他实施例中,此材料层择自下列无机材料所组成的群:硅、二氧化硅、旋涂式玻璃(SOG)、磷硅玻璃(PSG)、硼硅玻璃(BSG)及硼磷硅玻璃(BPSG)。
在一实施例中,本蚀刻方法包含在金属基材上形成光致抗蚀剂图案,在光致抗蚀剂图案上形成顺应性蚀刻液体,移除顺应性蚀刻液体及移除光致抗蚀剂图案。在一实施例中,顺应性蚀刻液体包含择自下列溶剂所组成的群:丙二醇甲基醚(PGME)、丙二醇甲基醚醋酸酯(PGMEA)、环己醇、N-甲基吡咯酮(NMP)、丙酮、丙二醇(propylene glycol)、氢氧化四甲基铵(TMAH)、二丙二醇甲基醚醋酸酯(DPGMEA)、1-胺基-异丙醇(1-amino-2-propanol)及N-(2胺基乙基)乙醇胺(N-(2-aminoethyl)ethanolamine)。顺应性蚀刻溶液不能溶解光致抗蚀剂图案。顺应性蚀刻溶液包含氢氧化四甲基铵(TMAH)显影剂、溶剂或去离子水。溶剂为以醇类为主的溶剂,例如异丁醇、正丁醇及甲基异丁基甲醇(MIBC)。
在一特定实施例中,本发明所述的蚀刻方法将顺应性蚀刻材料应用于形成集成电路的金属栅极堆叠,其为在基材上形成各种金属栅极堆叠;在基材上形成高介电常数介电层;在高介电常数介电层上形成金属栅极层;且还可在高介电常数介电层及金属栅极层之间插入盖层。在一实施例中,高介电常数材料包含氧化铪(HfO2)。在另一实施例中,高介电常数材料包含氧化铝(Al2O3)。或者,高介电常数材料层包含金属氮化物、金属硅化物或其他金属氧化物。金属栅极层包含氮化钛。在另一实施例中,金属氮化物包含氮化钽、氮化钼或氮化铝钛(titanium aluminum nitride)。盖层可包含氧化镧或其他合适材料。接着,使用顺应性蚀刻材料对基材进行一次或多次蚀刻工艺,以形成图案化金属栅极堆叠。
虽然图中未显示,也可于各种掺杂区域(例如源极及漏极区)或装置元件(例如多层内连线;MLI)形成之前或之后进行其他的工艺步骤。在一实施例中,轻掺杂漏极区(LDD)为在栅极堆叠形成之后形成。栅极间隔物可形成在金属栅极堆叠的侧壁,然后,源极及漏极区可沿着间隔物的外缘处形成。更进一步的,可形成多层内连线(MLI)。多层内连线包含垂直内连线,例如传统的通孔(vias)及接触点(contacts)、及水平内连线,例如金属线。可使用各种导电材料作为各种多层内连线中的元件,包含铜、钨、硅化物。在一实施例中,使用镶嵌工艺来形成关于铜的多层内连线结构。在另一实施例中,使用钨来形成接触孔中的钨插塞。半导体基材可更包含额外的隔离元件以隔离各个其他装置。隔离元件可包含不同的结构且可使用不同的工艺技术来形成。例如,隔离元件可包含浅沟槽隔离(STI)元件。
虽然本发明已详述数个实施例如上,然任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰。在一实施例中,本方法可用于形成n-type金属氧化物半导体场效应晶体管(NMOSFET)。在另一实施例中,本方法可用于在前栅极工艺中形成金属栅极堆叠,其中金属栅极堆叠由本方法形成且留至最后的结构中。在另一实施例中,本方法可用于在混成工艺中形成金属栅极堆叠,其中第一型态的金属栅极堆叠(例如NMOS金属栅极堆叠)由本方法形成且留至最后的结构中,第二型态的的金属栅极堆叠(例如PMOS金属栅极堆叠)形成为虚置栅极结构,以使源极/漏极离子注入工艺及退火工艺得以进行。随后,移除部分的虚置栅极堆叠并以适当的材料填补该虚置栅极堆叠中的沟槽。例如,移除PMOS区域中的多晶硅层及金属层。接着,回填P型金属及填充其他金属(例如铜)以形成PMOS金属栅极堆叠。
在另一实施例中,半导体基材可包含外延层。例如,基材可在基块(bulk)半导体上具有一外延层。再者,基材可具有应变(strained)以增进效能。例如,外延层可包含不同于基块半导体的半导体材料,例如锗化硅层覆于基块半导体上,或硅层覆于由包含选择性外延成长(SEG)工艺形成的锗化硅基块上。此外,基材可包含绝缘层上覆硅(SOI)结构,例如深埋介电层。或者,基材可包含深埋介电层,例如深埋氧化层,其可由例如注入氧加以分离(separation by implantation of oxygen)技术、晶片键合(wafer bonding)、选择性外延成长(selective epitaxial growth;SEG)或其他合适方法形成。
图案化光致抗蚀剂是由光学光刻工艺所形成。在此示范的光学光刻工艺可包含如下的工艺步骤:光致抗蚀剂涂布、软烘烤、光掩模对准、曝光、后曝光烘烤、光致抗蚀剂显影及硬烘烤。也可使用其他方法来进行或取代光学光刻曝光工艺,例如无掩模光学光刻、电子束写入、离子束写入及分子拓印。光致抗蚀剂对于特定的曝光束感光(例如KrF、ArF、超紫外光(EUV)或电子束(e-beam)光)。在一实施例中,图案化光致抗蚀剂包含化学强化剂(chemical amplifier;CA)以应用于0.25微米或先进技术节点。此化学强化光致抗蚀剂包含光酸产生剂(photoacid generator;PAG)。
本发明的各种优点可在各种不同的实施例中实现及应用。这些优点包含改善向下及横向的蚀刻速率,且没有化学品渗透至光致抗蚀剂所覆盖的基材;以聚合物控制有效控制化学性质来控制蚀刻速率;以聚合物的混合化学品来控制酸扩散长度以减少毛细力或渗透现象;使用加热板以使基材达到较高的温度。此外,在烘烤工艺之后,可消除聚合物残余物。顺应性蚀刻材料可在相同的蚀刻工艺及时间中针对不同目的来结合不同的化学品。
于扩散控制元素或结构的一实施例中,聚合物为用以做化学扩散控制。添加化学品至聚合物中,因此,化学品的扩散是在控制之下。在顺应性蚀刻材料的另一实施例中,也可使用由具有反应性官能基聚合物形成的酸/碱聚合物。
本发明提供一种化学扩散控制材料及使用其的蚀刻工艺。化学扩散控制材料包含具有化学添加剂的聚合物、具有官能基的聚合物或大分子结构的化学品。可由旋转涂布或喷洒或沉积来施予化学扩散控制材料至基材。当基材在施予扩散控制材料之后,更可由灯管或加热板进行热工艺。在蚀刻工艺后,扩散控制材料可由旋转干燥、蒸发或液体润洗来直接移除。润洗液体可为溶剂、溶液或气体。此溶液可为去离子水或光致抗蚀剂显影剂。基材包含硅或额外膜层,择自下列组成的群:多晶硅、氮、氧及金属薄膜。金属薄膜可包含氧化镧、氧化铪或氧化铝。或者,也可更包含对金属薄膜上施予化学材料之前,在金属薄膜上形成图案化光致抗蚀剂。
因此,本发明提供一种蚀刻基材的蚀刻方法。本发明包含形成光致抗蚀剂图案对基材施予化学蚀刻液体,其中化学蚀刻液体包含扩散控制材料,移除化学蚀刻液体及移除光致抗蚀剂图案。在此方法中,光致抗蚀剂图案可择自下列所组成的群:KrF光致抗蚀剂、ArF光致抗蚀剂、超紫外光光致抗蚀剂(EUV resist)、电子束光致抗蚀剂(e-beam光致抗蚀剂)。化学蚀刻液体可包含聚合物、溶剂或添加剂至少其一。溶剂可包含无机溶剂或去离子水。添加剂可包含酸性化合物、碱性化合物或含氮化合物至少其一。聚合物可为酸性聚合物或碱性聚合物。
虽然本发明已以数个较佳实施例揭示如上,然其并非用以限定本发明,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (12)

1.一种基材的蚀刻方法,包括:
形成一光致抗蚀剂图案于该基材上,该基材具有一高介电材料层及一位于该高介电材料层上的金属层;
对该金属层施予一化学蚀刻液体,其中该化学蚀刻液体包含一以聚合物材料为主的扩散控制材料,且该聚合物材料包含一具有化学添加剂的聚合物材料或一具有官能基的聚合物材料;
移除该化学蚀刻液体;以及
移除该光致抗蚀剂图案。
2.如权利要求1所述的基材的蚀刻方法,其中该化学添加剂包含一酸性分子添加剂,该酸性分子添加剂择自下列所组成的群:光酸产生剂、全氟辛烷磺酸盐、全氟丁烷磺酸盐、全氟烷磺酸分子、盐酸、氢氟酸、硫酸、硝酸及醋酸。
3.如权利要求1所述的基材的蚀刻方法,其中该具有官能基的聚合物材料的官能基择自下列所组成的群:羧酸基、内酯基、羟基及氟醇基。
4.如权利要求1所述的基材的蚀刻方法,其中该具有官能基的聚合物材料包含一具有三级胺结构的聚合物材料,该三级胺结构含有孤对电子。
5.如权利要求1所述的基材的蚀刻方法,其中该化学蚀刻液体包含二氧化碳水。
6.如权利要求1所述的基材的蚀刻方法,其中该化学蚀刻液体包含醋酸水。
7.如权利要求1所述的基材的蚀刻方法,其中该化学蚀刻液体还包含一溶剂,该溶剂择自下列所组成的群:丙二醇甲基醚、丙二醇甲基醚醋酸酯、环己醇、N-甲基吡咯酮、丙酮、丙二醇、氢氧化四甲基铵、二丙二醇甲基醚醋酸酯、1-胺基-异丙醇、N-(2胺基乙基)乙醇胺、异丁醇、正丁醇及甲基异丁基甲醇。
8.如权利要求1所述的基材的蚀刻方法,其中该光致抗蚀剂图案的形成还包含在一光致抗蚀剂涂布工艺中或其后加热该基材。
9.如权利要求8所述的基材的蚀刻方法,其中该基材为加热至低于120℃。
10.一种基材的蚀刻方法,包括:
形成一光致抗蚀剂图案于该基材上,该基材具有一高介电材料层及一位于该高介电材料层上的金属层;
对该金属层施予一化学蚀刻液体,其中该化学蚀刻液体是以聚合物材料为主,且还包含溶剂及添加剂,其中该添加剂用以增加该化学蚀刻液体的黏度;
随后,加热该基材上的该化学蚀刻液体;
移除该化学蚀刻液体;以及
移除该光致抗蚀剂图案。
11.如权利要求10所述的基材的蚀刻方法,其中该光致抗蚀剂图案择自下列所组成的群:KrF光致抗蚀剂、ArF光致抗蚀剂、超紫外光光致抗蚀剂及电子束光致抗蚀剂。
12.如权利要求10所述的基材的蚀刻方法,其中该添加剂包含酸性化合物、碱性化合物及含氮化合物至少其一。
CN200910163590.9A 2008-08-29 2009-08-28 基材的蚀刻方法 Active CN101676799B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9292708P 2008-08-29 2008-08-29
US61/092,927 2008-08-29
US12/546,812 US8349739B2 (en) 2008-08-29 2009-08-25 Conformal etch material and process
US12/546,812 2009-08-25

Publications (2)

Publication Number Publication Date
CN101676799A CN101676799A (zh) 2010-03-24
CN101676799B true CN101676799B (zh) 2013-08-21

Family

ID=42029406

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910163590.9A Active CN101676799B (zh) 2008-08-29 2009-08-28 基材的蚀刻方法

Country Status (3)

Country Link
US (1) US8349739B2 (zh)
CN (1) CN101676799B (zh)
TW (1) TWI388006B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8690710B2 (en) * 2007-07-25 2014-04-08 Karsten Manufacturing Corporation Club head sets with varying characteristics and related methods
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
CN102460662B (zh) * 2009-06-25 2014-09-10 朗姆研究公司 用于处理半导体晶片的方法
US20130071618A1 (en) * 2011-09-20 2013-03-21 Shenzhen China Star Optoelectronics Technology Co. Ltd. Thin Film, Pattern Layer, And Manufacturing Method Thereof
TWI672360B (zh) * 2018-01-04 2019-09-21 才將科技股份有限公司 具有針對兩種晶格方向低選擇比(Si(100)/Si(111))及低二氧化矽蝕刻率之矽蝕刻劑組合物
US10950631B1 (en) * 2019-09-24 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor-on-insulator wafer having a composite insulator layer
CN114351143B (zh) * 2021-12-09 2023-07-25 湖北兴福电子材料股份有限公司 一种侧蚀量可控的锗蚀刻液

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4781792A (en) * 1985-05-07 1988-11-01 Hogan James V Method for permanently marking glass
US4632727A (en) * 1985-08-12 1986-12-30 Psi Star Copper etching process and solution
JP2001044166A (ja) * 1999-07-29 2001-02-16 Nec Akita Ltd 導電膜パターンの形成方法
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
KR101006800B1 (ko) * 2003-06-06 2011-01-10 도쿄엘렉트론가부시키가이샤 기판의 처리막의 표면 거침을 개선하는 방법 및 기판 처리장치
US20050009342A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Method for etching an organic anti-reflective coating (OARC)
JP2005038897A (ja) * 2003-07-15 2005-02-10 Dainippon Screen Mfg Co Ltd 基板処理方法及びその装置
US7147798B2 (en) * 2003-08-22 2006-12-12 Arch Specialty Chemicals, Inc. Aqueous based metal etchant
US20050218372A1 (en) * 2004-04-01 2005-10-06 Brask Justin K Modifying the viscosity of etchants

Also Published As

Publication number Publication date
US20100055923A1 (en) 2010-03-04
US8349739B2 (en) 2013-01-08
CN101676799A (zh) 2010-03-24
TWI388006B (zh) 2013-03-01
TW201030835A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
CN101676799B (zh) 基材的蚀刻方法
US7354847B2 (en) Method of trimming technology
KR101675458B1 (ko) 산 확산을 이용하는 반도체 소자의 제조 방법
US20200110338A1 (en) Photosensitive Material and Method of Lithography
US8435728B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
KR100988901B1 (ko) 레지스트 패턴 후육화 재료, 레지스트 패턴의 형성 방법, 반도체 장치 및 그 제조 방법
US20010038972A1 (en) Ultra-thin resist shallow trench process using metal hard mask
CN102956443B (zh) 半导体器件的制造方法
US10747114B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
JP2001230387A (ja) 半導体素子の製造方法
CN108957959B (zh) 微影图案化的方法
US9405201B2 (en) Lithography process using directed self assembly
TW201911374A (zh) 半導體結構的形成方法
US10083832B1 (en) Under layer composition and method of manufacturing semiconductor device
JPWO2009054413A1 (ja) 半導体装置の作製方法
US7825041B2 (en) Method of reworking a semiconductor substrate and method of forming a pattern of a semiconductor device
US10115585B2 (en) Hardmask composition and methods thereof
US10186542B1 (en) Patterning for substrate fabrication
CN101106087A (zh) 用于形成局域金属硅化物的工艺方法
CN103681248A (zh) 一种半导体器件的制造方法
KR20090067369A (ko) 반도체 소자의 미세패턴 형성방법
KR20230069787A (ko) 포토레지스트 및 방법
KR100772699B1 (ko) 반도체 소자 제조 방법
KR20080111819A (ko) 포토레지스트 제거방법 및 반도체 소자의 제조 방법
KR20050074761A (ko) 반도체 소자의 미세 콘택홀 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant