CN101583855A - 用于校验从气体供应系统进入等离子体处理室的气体流率的方法 - Google Patents

用于校验从气体供应系统进入等离子体处理室的气体流率的方法 Download PDF

Info

Publication number
CN101583855A
CN101583855A CNA2006800257705A CN200680025770A CN101583855A CN 101583855 A CN101583855 A CN 101583855A CN A2006800257705 A CNA2006800257705 A CN A2006800257705A CN 200680025770 A CN200680025770 A CN 200680025770A CN 101583855 A CN101583855 A CN 101583855A
Authority
CN
China
Prior art keywords
gas
flow
flow rate
hole
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800257705A
Other languages
English (en)
Other versions
CN101583855B (zh
Inventor
迪安·J·拉松
罗伯特·C·赫夫蒂
詹姆斯·V·蒂茨
威廉·S·肯尼迪
埃里克·H·伦茨
小威廉·M·登蒂
恩里科·马尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101583855A publication Critical patent/CN101583855A/zh
Application granted granted Critical
Publication of CN101583855B publication Critical patent/CN101583855B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/05Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects
    • G01F1/34Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects by measuring pressure or differential pressure
    • G01F1/36Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects by measuring pressure or differential pressure the pressure or differential pressure being created by the use of flow constriction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/05Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects
    • G01F1/34Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects by measuring pressure or differential pressure
    • G01F1/36Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects by measuring pressure or differential pressure the pressure or differential pressure being created by the use of flow constriction
    • G01F1/40Details of construction of the flow constriction devices
    • G01F1/42Orifices or nozzles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0652Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged in parallel

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

提供了在用于提供气体到等离子体处理室(12)的气体供应系统中测量气体流率的方法。在差异流量方法中,在不同设定流率操作流量控制器,并且在环境条件下为该设定流率测量上游孔压力。该测量的孔压力作为参考提供给次级流量校验方法,该次级流量校验方法为不同设定流率产生对应的实际气体流率。该上游孔压力可用作对后续上游孔压力测量的差异比较,该后续测量可在该室的任何温度条件下进行。在绝对流量方法中,预先确定所选取气体和孔的一些参数,并且当该气体从流量控制器以设定流率流过一个孔时测量该气体的其它参数。

Description

用于校验从气体供应系统进入等离子体处理室的气体流率的方法
背景技术
半导体结构在等离子体处理设备中处理,该设备包括等离子体处理室、提供处理气体到该室的气体源、以及由该处理气体产生等离子体的能量源。在这样的设备中,通过包括干蚀刻处理、沉积处理(例如化学气相沉积(CVD)、物理气相沉积、或者金属、介电和半导体材料的等离子体加强化学气相沉积(PECVD))以及抗蚀剂剥除处理的技术,对半导体结构进行处理。不同的处理气体和处理条件用于这些处理技术,以及用于处理形成这些半导体结构的不同材料。
发明内容
用于校验从气体供应系统到等离子体处理室的处理气体流率的方法的一个实施方式包括:a)设置第一流量控制器于第一设定点,并且以来自该第一流量控制器的第一设定流率流动气体,该气体通过孔阵列的第一孔流入在环境温度下的等离子体处理室中;b)设置该第一流量控制器于第二设定点,并且以来自该第一流量控制器的第二设定流率流动气体,该气体通过该孔阵列的该第一孔或第二孔流入在环境温度下的该等离子体处理室中;c)为各该第一设定流率和第二设定流率,测量进入该等离子体处理室的气体的实际流率;d)确定用于该第一流量控制器的该第一和第二设定流率以及该实际流率之间的关系;e)分别测量在该第一和第二设定流率下,该第一和第二孔上游的气体压力,该室在环境温度下;f)利用该实际流率以及该测得的对于该第一和第二设定流率的上游气体压力,确定对于各个该第一孔和第二孔的该第一流量控制的第一经验系数;g)设置该第一流量控制器于第三设定点,并且以来自该第一流量控制器的第三设定流率流动该气体,该气体通过该第一或第二孔流入该等离子体处理室中;h)测量在该第三设定流率下,该第一或第二孔上游的气体压力;以及i)使用对于该各自的第一或第二孔的该测得的气体压力以及该第一经验系数,确定以该第三设定流率通过该第一或第二孔的气体流率。
该用于校验从气体供应系统到等离子体处理室的处理气体流率的方法的另一个实施方式包括:a)设置第一流量控制器于第一设定点,并且以来自该第一流量控制器的第一设定流率流动气体,该气体以粘性声速流率通过孔阵列的第一孔流入等离子体处理室中;b)测量在该第一流率下,该第一孔上游的气体压力(P1)和温度(T1);c)测量该第一孔的横截面流通面积(A);d)确定该气体在恒定体积下的比热(Cv)、在恒定压力下的比热(Cp)、分子量(M)以及绝对经验系数(Ka);以及e)利用以下方程式(i)-(iii),计算通过该第一孔的气体的流率Q:
Figure A20068002577000101
其中R0为通用气体常数;
(ii)γ=Cp/Cv,以及
(iii)P2/P1=[2/(γ+1)]γ/(γ-1)=rc
该用于校验从气体供应系统到等离子体处理室的处理气体流率的方法的另一个实施方式包括:a)设置第一流量控制器于第一设定点,并且以来自该第一流动控制器的第一流率流动载体气体,该载体气体以粘性音速流率通过孔阵列的第一孔流入到等离子体处理室中;b)测量在该第一流率下,该第一孔上游的载体气体的压力(P1)和温度(T1);c)测量该第一孔的横截面流通面积(A);d)确定该载体气体在恒定体积下的比热(Cv)、在恒定压力下的比热(Cp)、分子量(M)以及绝对经验系数Ka;e)使用上述方程式(i)-(iii),计算通过该第一孔的载体气体的流率Q;e)然后设置第二流量控制器于第二设定点,并且以来自该第二流量控制器的第二流率流动种子气体,该种子气体与该载体气体相混合以形成气体混合物,该气体混合物通过该第一孔流动并流入到该等离子体处理室中,当该种子气体单独通过该第一孔流动时,该第二流率为亚音速的和/或非粘性的;f)测量该第一孔的该气体混合物上游的压力(P1)和温度(T1);g)利用方程式(i)和该载体气体的Cv、Cp、M和Ka,计算通过该第一孔的气体混合物的流率Q;以及h)将该载体气体的Q值与该气体混合物的Q值相比较,以确定通过该第一孔的种子气体的流率。
一种适于供应处理气体到等离子体处理室的气体供应系统的一个实施方式包括:适于与气体供应部分(section)流动连通的气体管线;与该气体管线流动连通的孔阵列,该孔阵列包括至少两个孔;第一压力传感器与该孔阵列流动连通,该第一压力传感器适于测量该孔阵列上游的第一气体压力范围;以及与该孔阵列流动连通的第二压力传感器,该第二压力传感器适于测量该孔阵列上游的第二气体压力范围,该第二气体压力范围具有高于该第一气体压力范围上限值的上限值。
附图说明
图1说明了等离子体处理设备的一个示范性实施方式;
图2说明了用于供应气体到等离子体处理系统的气体供应系统的一个示范性实施方式;
图3说明了与气体供应部分和等离子体处理室流动连通的流量校验部分的一个示范性实施方式;
图4说明了实际流率比流量控制器设定流率之间的示范性关系;
图5说明了上游的孔压力与三个不同喷嘴的设定流率之间的示范性关系。
具体实施方式
用于处理半导体材料(例如在半导体基片上形成的半导体器件,如硅晶片)的等离子体处理设备包括等离子体处理室以及供应处理气体到该等离子体处理室的气体供应系统。取决于等离子体处理室的结构,气体供应系统可构造为分配气体到纵贯经受等离子体处理的基片表面的单个区域或到多个区域。
用于等离子体处理室的气体供应系统可包括流量控制器,以控制相同处理气体、不同处理气体、或气体混合物至不同区域的流率,从而允许在处理中调整纵贯基片的气体流率和气体组成的均一性。例如,可参见共有的美国专利申请No.10/835,175,其通过引用整体合并入此处。但是,流量控制器,例如质量流量控制器(MFC),具有性能误差。例如,MFC通常具有MFC设定点约±1%的误差,该设定点对应于该MFC的设定流率。该误差的大小取决于多种因素而变化,包括设定流率的大小、气体组成、MFC的准确度。
对于蚀刻处理,例如,为达到纵贯基片以及基片到基片间的期望的蚀刻率、选择性和均一性,实际处理气体的流率优选地紧密接近期望的流率。还期望在不同处理条件下(例如,在不同的处理室温度下)具有准确的流率。对于不同设定流率和气体组成而校正气体供应系统的流量控制器,以补偿由该流量控制器提供的实际流率中的误差。
一种称之为“上升率”(‘rate of rise’)技术的方法可用来确定用于等离子体处理室的气体供应系统的流量控制器所供应的气体的实际流率。该技术中,流量控制器设置于用于该流量控制器的选取的设定点(例如,满标流量的20%),并且气体以设定流率(即,对应于流量控制器的设定点的该流率)从流量控制器流入具有已知体积的室内。该室可以是等离子体处理室。测量该室中对应于该室中气体体积增加速率的压力增加速率。利用理想气体定律,可确定该室内气体体积的增加速率,从而容许确定进入该室内的实际气体流率。还可修正任何非理想气体的压缩性效应,这在理想气体定律关系中通常没有解决。将该设定流率与设定点的实际流率相比较,以给出对于流量控制器的校正点。该方法可在流量控制器相同的设定点以及不同的设定点重复。
利用等离子体处理室在环境条件下(例如,在非加热条件下)进行该上升率技术。但是,对于该技术的测量结果受等离子体处理室的温度影响。因此,已经确定当室加热或基片未包含在该室内时,该技术对于测量气体流率并不是完全符合要求的。还已经确定,因该室处于加热条件下,在实际的等离子体处理操作期间,该上升率技术用于主动追踪气体流率并不是完全符合要求的。
为执行该上升率技术,首先,当在加热条件下(例如,已加热上部电极和/或下部电极)操作离子处理室时,容许该室冷却到环境温度。通常在该室内需要数小时的时间来达到环境条件。并且,对于给定气体,测试时间多达约5分钟/设定点。由于通过对在选择的设定点的多次测量求平均可提高该技术的准确度,因此,为保证某一水平的准确度,进行足够多次数的测量所需的总测试时间是有影响的。该测试时间造成了该室的停工时间。另外,室温度的周期性变化可在该室内产生微粒。这些微粒会污染在室中处理的基片并降低处理产量。
出于上述考虑和其它考虑,提供了用于校验从气体供应系统到等离子体处理室的气体流率的方法。该方法的实施方式可优选地在任何室温度条件下,提供适当准确的气体流率测量。
该用于校验从气体供应系统到等离子体处理室的气体流率的方法的一个优选实施方式此处称之为“差异流量”(‘differentialflow’)方法。该方法中,在不同设定点运行流量控制器,并且为每个设定点测量上游孔压力。该测量的上游孔压力作为参考提供给基于室(chamber-based)的次级流量校验方法,该次级流量校验方法确定用于不同设定点对应的实际气体流率。优选地,在环境温度的室条件下进行该次级流量校验测量以及该上游孔压力测量。该基于室的次级流量校验测量也可用于确定该流量控制器是否在期望的操作参数和准确度内运行。在该差异流量方法中,在环境温度条件下进行的上游孔压力测量作为对后续测量的上游孔压力的差异比较以校验流量,该后续测量可在该室的任何温度条件下进行。
该用于校验从气体供应系统到等离子体处理室的气体流率的方法的另一个优选实施方式此处称之为“绝对流量”(‘absoluteflow’)方法。与差异流量方法不同,绝对流量方法不依赖于还执行基于室的次级流量校验方法。在该绝对流量方法中,由已知和/或计算的值预定选取气体的一些参数,该选取气体的由流量控制器供应到孔阵列中孔的流率将被测量;可预先测量孔开口的尺寸;并且当气体从流量控制器在选择的设定点通过一个孔流动时测量气体的其它参数。该预定和测量的值用于确定通过该孔的实际气体流率。并且在该方法中,可在任何时间以及任何室条件,流过任何流量控制器设定点。
该用于校验气体流率的方法的实施方式可用于适于供应处理气体到等离子体处理室的多种气体供应系统。例如,该气体供应系统可适于供应不同的处理气体和/或处理气体的不同气体流率比到该室的一个或多个区域。该气体供应系统适于在等离子体处理操作期间流动连通到等离子体处理室,并适于供应不同的气体化学制剂,和/或供应气体化学制剂的不同流率到该室内的一个或多个区域。
等离子体处理室可以是任何合适类型的等离子体反应器。该等离子体处理室可以是低密度、中等密度或高密度等离子体反应器,其包括使用RF能量、磁场、微波等的能量源以产生等离子体。例如,该等离子体处理室可以是包括有喷头电极组件的平行板电容RF蚀刻室。这种类型的示范性的等离子体反应器由位于California,Fremont的Lam Research Corporation以商标2300“EXELAN”、2300“EXELAN FLEX”和2300“EXELAN FLEX45”出售的介电蚀刻室。作为另一个示例,该等离子体处理室可包括电感耦合RF源(例如螺旋波、螺旋形谐振器和RF天线式)和气体输入喷嘴。可使用的示范性的电感耦合等离子体反应器是由LamResearch Corporation以商标2300“VERSYS”Silicon、2300“VERSYS”Metal和2300“VERSYS KIYO”出售。
图1描述了示范性的半导体材料等离子体处理设备10。该等离子体处理设备10包括等离子体处理室12以及与该室流动连通的气体供应系统100。等离子体处理室12具有包含基片支撑件14的内腔(interior),基片支撑件14用于在等离子体处理期间支撑基片16。基片支撑件14包括卡盘装置,例如静电式卡盘18,其适于于处理期间在基片支撑件14上夹住基片16。该基片可由聚焦环和/或边缘环、接地延伸部或其它部件环绕,例如在共有美国专利申请公开号2003/0029567中披露的部件,其通过参考整体合并入此处。
基片16可包括基体材料,例如硅晶片;位于该基体材料上的待处理材料(例如,蚀刻)的中间层;以及位于该中间层上的掩模层。取决于在该基体材料上形成的半导体器件的类型,该基片可包括位于基层和掩模层之间的导电、介电或半导体材料的附加层。该中间层可以是导电、介电或半导体材料。该掩模层可以是图案化的光刻胶材料,其带有用于在中间层和/或一个或多个其它层蚀刻期望特征(例如孔、过孔和/或沟槽)的开口图案。
可被处理的示范性的介电材料包括,但不限于,掺杂硅氧化物,例如含氟硅氧化物;非掺杂硅氧化物,例如二氧化硅;旋涂玻璃(spin-on glass);硅酸盐玻璃;掺杂或非掺杂热硅氧化物;以及掺杂或非掺杂TEOS沉积硅氧化物。该介电材料可以是低k材料,包括有机和无机的低k材料。该介电材料可覆于导电或半导体材料(例如多晶硅)上;金属,例如铝、铜、钛、钨、钼及它们的合金;氮化物,例如氮化钛;以及金属硅化物,例如硅化钛、硅化钨和硅化钼。
如图1所示的示范性的等离子体处理室12包括喷头电极组件,该组件具有形成等离子体室的一个壁的支撑板20,以及连接到支撑板20的喷头22。折流组件设置于喷头22和支撑板20之间,以均一地分配处理气体到喷头22的后侧28。该折流组件可包括一个或多个折流板。在图示的实施方式中,该折流组件包括三个折流板30A、30B和30C。开放式增压区(open plenums)48A、48B和48C限定于折流板30A、30B和30C之间;以及折流板30C和喷头22之间。折流板30A、30B和30C以及喷头22包括用于流入处理气体到等离子体处理室12内腔的贯通通道。
在该实施方式中,在板20和折流板30A之间的增压区以及在折流板30A、30B和30C之间的增压区48A、48B和48C由密封件38a、38b、38c和38d(例如O形环)分为内部区域42和外部区域46。可由气体供应系统100,优选地在控制部分500的控制下,供应具有各自不同气体化学制剂和/或流率的处理气体到内部区域42和外部区域46。从内部区域气体源40供应气体到内部区域42,并且从外部区域气体源44供应气体到环形通道44a,然后供应到外部区域46。处理气体通过折流板30A、30B和30C以及喷头22内的通道流动,并进入等离子体处理室12内。
通过功率源(例如RF源驱动电极22、或者一个或多个RF功率源,如驱动基片支撑件14内电极的两个功率源)激励该处理气体,以在等离子体处理室12内产生等离子体。通过包括可提供不同频率的RF源,以及用于驱动基片支撑件14内电极的多个RF源,可施加多个频率到整合有电极和静电卡盘的基片支撑件14,同时上部电极提供回路。或者,在双频率等离子体反应器中,可施加不同的频率到基片支撑件和电极,例如喷头电极。
在等离子体处理室12中,为达到期望的处理效率和蚀刻均一性,可将等离子体限制在上部和下部电极之间的等离子体限制区域内。可用来提供等离子体限制的示范性的等离子体限制环组件在以下共有的美国专利中披露:美国专利Nos.5,534,751;5,998,932;6,019,060;6,178,919和6,527,911,其中每个均通过引用合并入此处。
如图2所描述,该气体供应系统100的实施方式包括气体供应部分200,其适于供应不同气体(例如单种的处理气体、或者两种或两种以上处理气体的多种混合物)到该气体供应部分200下游的流量校验部分300。流量校验部分300可调整分别通过内部区域气体管线40和外部区域气体管线44供应到等离子体处理室12的内部区域42和外部区域46的处理气体的比率。
如图1所示,气体供应系统100优选地连接到控制部分500。控制部分500优选地是可操作的以控制气体供应系统100的流量控制部件(包括阀、流量控制器、压力传感器和温度传感器)的运行,以容许控制由气体供应部分200供应到流量校验部分300并最终供应到等离子体处理室12的气体的流动路径以及组成及比率。控制部分500优选地适于控制气体供应系统100的流量控制组件,并适于进行数值计算,以容许此处描述的流量校验方法的步骤自动进行。例如,控制部分500可包括合适的硬件和软件,以提供对流量控制组件的控制、接收来自于这些组件的输出信号、以及利用输入的预定和测量值进行相关的数值计算,从而使该方法的实施方式能够进行。
控制部分500可包括任何用于在流量校验过程中进行这些操作的合适的装置。计算机可读程序代码可在任何计算机可读介质中,或通过连接到基于计算机的系统、含有处理器的系统或者其它可取回并执行指令的系统而具体化。该计算机可读介质可以是包含、存储、通讯、传播或传输该程序的任何装置,该介质通过与该系统连接而与该系统结合使用。该计算机可读介质可以是,例如,电子、磁、光学、电磁、红外的或半导体系统、设备、装置或介质。示范性的计算机可读介质包括便携式计算机磁盘、随机存取存储器(RAM)、只读存储器(ROM)、硬盘、标准存储装置等。该计算机可读介质能够实现此处描述的一种或多种流量校验方法。
在该实施方式中,气体供应部分200包括气体管线202、204、206、208、210和212,各气体管线可与不同的气体源流动连通。由此,气体供应部分200可供应多种不同的单种处理气体以及处理气体混合物到等离子体处理室12,从而容许在该室内进行大量不同的半导体等离子体处理操作。气体供应系统100中包括的气体源的数量不局限于气体源的任何特定数量。例如,备选地,气体供应部分200可包括多于或少于图2中所示实施方式的六个气体源。例如,该气体供应部分200可包括两个、三个、四个、五个、十个、十二个、十六个或更多个气体源。可有各自的气体源提供的不同的气体包括单种气体(例如O2、Ar、H2、Cl2、N2、He、Ne等)和气态化合物(例如气态的碳氟化合物和/或氢氟碳化合物)。在一个示范性的实施方式中,等离子体处理室12为蚀刻室,并且气体源可供应Ar、O2、N2、Cl2以及选自于CH3、CF4、C4F8、CH3F和CHF3(以任何合适的顺序)中的两种气体到气体管线202-212。可基于在等离子体处理室12中进行的特定等离子体处理(例如,特定的干蚀刻和/或材料沉积处理)而选择由气体供应部分200各自的气体源所供应的特定气体。因此,,气体供应部分200可提供关于能够用于执行这些处理的气体选择的宽泛的多功能性。
在该实施方式中,气体供应系统100还包括调谐气体部分(tuning gas section)400,其适于提供至少一种调谐气体,以使供应到等离子体处理室12的处理气体组成能够调整。该调谐气体可以是,例如,O2、惰性气体(如氩或He)、或者活性气体(例如碳氟化合物或氢氟碳化合物,如C4F8)。该调谐气体部分400包括与调谐气体源相连接的调谐气体管线402。
在该实施方式中,沿各个气体管线202、204、206、208、210以及212、214和216,以及沿调谐气体部分400的气体管线402设置流量控制器220。各该流量控制器220是可操作的以在一定的设定流率范围内从关联的气体源供应气体到该室中。流量控制器220优选地为质量流量控制器(MFCs)。可选择每个流量控制器220以提供期望的气体流率范围,例如对于介电蚀刻应用,从约1sccm到约2000sccm。
在该实施方式中,气体供应部分200包括气体管线222、224、226、228、230、232和234,它们与气体管线281流动连通,而气体管线281相应地与该泵净化系统(pump-purge system)(气体管线280和282)流动连通。在晶片处理过程中,调谐气体部分400提供该调谐气体到阀340的中心或边缘区域。调谐气体部分400还包括阀264,其可被打开,以直接供应该调谐气体到歧管270,用于在系统维护操作期间的气体流量校验。
在该实施方式中,阀240、260沿气体管线202-212和402,分别位于流量控制器240的上游和下游。阀250沿气体管线222-232设置,并且阀262沿气体管线234设置。阀240、260可有选择地打开或关闭,以控制不同的气体流到气体歧管270量,或者在气体管线344或348增加调谐气体。阀250和260可有选择地打开或者关闭以泵净化气体管线202-212和240。阀240、250和260可受控制部分500的自动控制。
例如,通过打开与气体源202-212的一个或多个相关联的阀240、260,可提供选择的单一气体或气体混合物到气体歧管270。因此,通过气体供应部分200可控制的运行,可提供多种单种气体、气体混合物和处理气体的质量流率到歧管270。该气体供应部分可受控制部分500的控制。
在该实施方式中,气体供应系统100还包括净化气体源,该净化气体源包括净化气体管线280,用于通过气体管线281供应净化气体到气体管线232;通过气体管线283到气体歧管270;通过内部区域气体管线40和/或外部区域气体管线44进入到等离子体处理室12内;或通过真空管线50到真空。如图所示,阀286、290和298沿气体管线282设置;阀288沿气体管线283设置;孔297和阀292沿气体管线284设置;以及阀296沿气体管线285设置,以提供对该净化气体分配的选择性控制。这些阀可受控制部分500的自动控制。
在该实施方式中,流量校验部分300包括气体管线302、304、306、308、310和312,其中每个包括阀320,以及分别设置在阀320下游的孔阵列中的孔325、326、327、328、329和330。气体管线342交互连接气体管线302、304、306、308、310和312。阀335沿气体管线306、346、310、312、285和344设置,并且阀340沿气体管线346和348设置。
在流量校验部分300,孔阵列的孔325-330的每个优选地具有用于通过该孔的气体流量的固定的限制尺寸,即固定的气体流量开口尺寸。孔325-330限制气体流量,并可在各自孔的上游以及接近各自孔的各自的管线302、304、306、308、310和312(孔297也可沿气体管线284设置)内保持接近恒定的气体压力。当气体供应系统100改变该气体组成和/或流入到等离子体处理室12中的流率时,孔325-330可抑制该气体流中的压力波动和流动的不稳定性。该孔阵列的孔325-330可分别具有各自不同的横截面气体限制尺寸,例如不同的直径或不同的横截面面积。例如,孔325、326、327、328和329可具有0.007英寸、0.010英寸、0.015英寸、0.020英寸、0.030英寸的各自直径,以及孔330可具有0.030英寸的直径。孔325-330的气体限制尺寸小于气体供应系统100中气体管线的气体流动路径的尺寸。
该孔的尺寸优选地设计成为处理气体流量提供粘性音速流条件。如以下将更详细地描述,在流率校验过程中,通过孔325-330的气体流量优选地在该流量校验部分300中处于临界粘性流状态(critical viscous flow regime),以容许给定孔325-330的流导由其限制尺寸和上游压力确定,而无需还确定孔下游的压力。
流量校验部分300中孔阵列的孔的数目可包括多于图2所示的六个孔,或小于六个孔。例如,孔326、328可被单一的孔所代替,它可提供与两个孔326、328的总的流导大约相同的流导,或者孔329可被两个或多个孔所代替,它们提供与孔329相同的总的流导。
位于各自的孔325-330的每个的上游的阀320控制来自气体供应部分200的处理气体的流量。可打开一个或多个阀320,以容许气体流动到相关联的孔325-330的一个或多个。通过沿气体管线306、346、310和312设置的阀335的选择性控制,可以选择的流率供应处理气体到外部区域气体管线44和内部区域气体管线40。例如,可供应0-100%的处理气体流量到内部区域气体管线40或外部区域气体管线44,而同时供应100%-0的处理气体的气体流量到内部区域气体管线40和外部区域气体管线44的另一个。因此,可以为不同的基片处理操作调整到内部区域40和外部区域44的处理气体流量。
图3显示了流量校验部分540的一个示范性的实施方式,该流量校验部分540通过气体管线522与上游的气体供应部分520流动连通,并且通过气体管线570与下游的等离子体处理室580流动连通。流量校验部分540包括气体管线540、542、544、546和548,各气体管线包括阀550,并且还分别包括孔560、562、564、566和568。气体管线538交互连接气体管线540、542、544、546和548。
流量控制部分540包括分别通过气体管线530、532与气体管线538流动连通的压力传感器526、528。阀534、536沿气体管线530、532设置。压力传感器526、528可测量不同的气体压力范围。例如,压力传感器526可测量多至约500Torr的压力,而压力传感器528可测量多至约50Torr的压力。压力传感器526、528优选地受控制部分控制。在该实施方式中,对于由气体供应部分520的流量控制器所提供的设定气体流率,基于为各自孔560、562、564、566和568中一个的预测的上游气体压力,压力传感器526或528运行以通过打开相关联的阀534或536而分别检测该上游气体压力。温度传感器524测量由气体供应部分520供应的气体的气体温度。压力传感器526、528和温度传感器524是可操作的以提供信号给该控制部分,以容许在流量控制部分540确定上游孔压力和气体温度。
如上所述,该校验从气体供应系统进入等离子体处理系统的气体流率的方法的一个实施方式为“差异流量方法”。在该方法中,基于室的次级流量校验方法用于确定由气体供应系统100供应的进入等离子体处理室12中的处理气体的实际流率。该基于室的次级流量校验方法优选地为上升率方法。在该方法中,气体流入等离子体室12中,该等离子体室具有预定的气体容量(体积),并且测量该室中的相关联的压力增加速率。大多数气体的状态可由如下的理想气体定律描述:
(1)PV=nRT
在方程式(1)中,P为气体压力,V为气体体积,n为气体的摩尔数,R为通用气体常数(8.3J·K-1·mol-1),以及T为气体温度。测量压力增加速率ΔP/Δt,并计算该室内气体量的增加速率Δn/Δt,并转换成该气体的流率。对于在高流量中表现出非理想的气体,可对方程式(1)进行可压缩性修正。
参考图2,可通过上升率技术测试流量控制器220,以确定用于流量控制器的设定气体流率和实际气体流率之间的关系。例如,为测试沿气体管线202设置的流量控制器220,打开沿气体管线202的阀240,同时关闭沿气体管线204、206、208、210、212和402设置的阀250和240。打开沿气体管线202的阀260,同时关闭沿气体管线204、206、208、210、212和402设置的阀260。气体通过流量控制器220由气体管线202流动到流量校验部分300的孔325-330中的一个,并进入等离子体处理室12。例如,为通过孔325气体流入等离子体处理室12,打开沿气体管线302的阀320,并关闭沿气体管线304-312的阀320。例如,假设流量控制器220的100%的流率为200sccm,可在流量控制器220的范围内在两个或多个设定点进行上升率测量,例如在满标(例如,200sccm)的10%、20%、30%、40%、50%、60%、70%、80%、90%和100%的设定点。为增加准确度,可在这些设定点的一个或多个进行多次气体流率测量,例如,2次、3次或更多次测量。取决于被测试的流量控制器220的设定点,对于该气体流率,气体流到孔325-330中合适的一个。选择该孔以产生由流量控制器供应的特定气体流率粘性音速流条件。该孔的选择优选地可由控制部分500完成,控制部分500包括用于进行这种孔选择的合适的软件。
该上升率测量结果用于确定设定流率和实际流率之间的关系,以校正流量控制器。解决非理想气体状态的压缩性效应的校正也整合入该关系中。该关系可具有如下示范性的形式:
(2)QA=A(Qs)2+B(Qs)+C
在方程式(2)中,QA为实际流率,Qs为设定流率,以及A、B和C为由数据的二次拟合(quadratic fit)所确定的常量。该计算可由控制部分500执行。二次数据拟合是需要的,因为在低流量的不同气体(例如,SiCl4、HBr和Cl2)会表现出在流量误差方面显著的偏移,而在高流量下不同的气体表现出在流量误差方面非线性的压缩性偏移。该误差可导致该设定流率和实际流率之间的任何关系为非线性。确信该非线性二次拟合可解决该误差问题。如果流量控制器被替换,需重新进行该校正。因此,优选地在该流量控制器用于实际的基片处理之前进行该校正。
对于流量控制器10%到100%范围的设定点,实际流率比设定流率的示范性曲线如图4所示。
等离子体处理室12优选地在每个上升率测量过程中处于环境温度。例如,上部和下部电极的温度可设置到约20℃,并容许等离子体处理室12处于空置状态,以容许该室冷却到环境温度。等离子体处理室12可在该空置条件下保持例如1毫托或更小的压力。优选地,关闭用于该上部和下部电极的可选的加热和冷却系统,并且允许该室空置至少两小时,以及更优选地至少12小时或更多,以允许该室达到环境温度条件。
可为气体供应系统100的每个流量控制器220进行上升率测量,以确定设定气体流率和实际气体流率之间的关系。对于给定的流量控制器220,该孔阵列的不同的孔可单独地使用以测量满标的气体流量。
在该实施方式中,使用相同的气体,为由上升率技术确定的流量控制器的各个设定点测量上游孔压力。为减少该流量校验方法的持续时间,当气体流入该室以用于上升率测量时可测量上游孔压力。
在该差异流量方法的另一个实施方式中,当进行用于上升率测量的气体流动后,相同的气体在相同的设定点从相同的流量控制器220流动。也就是说,当该气体流入等离子体处理室以用于上升率测量时,不进行对该设定点的压力测量。一旦压力稳定即测量上游孔压力。为最小化流量控制器漂移效应,优选地在进行上升率测量之后即马上进行该压力测量,例如,在进行上升率测量的约30分钟内。
如图2所示,压力传感器350,例如电容式压力计,设置为与各个气体管线302、304、306、308、310和312流动连通。当气体通过各个孔325-330流动时,压力传感器350是可操作的以测量每个各自孔的上游气体压力。压力传感器350可具有合适的压力测量范围,以能够测量上游孔压力。
例如,如上所述,气体可以满标的10%、20%、30%、40%、50%、60%、70%、80%、90%和100%的设定点,从沿气体管线202设置的流量控制器220流到等离子体处理室12。取决于流量控制器220的设定点,该气体流到孔325-330中合适的一个。由控制部分500使用预定的、已测的和/或计算的信息自动作出对于不同设定点的孔选择。
对于给定的流量控制器220和气体,测得的气体压力用于基于设定流率和对于各个设定流率的测得的气体压力,而确定用于该流量控制器220的经验系数。可确定设定流率以及对于给定流量控制器和给定孔的上游压力之间的如下关系:
(3)QS,O=AO(PO)2+BO(PO)+CO
在方程式(3)中,QS,O是设定流率,PO是该孔的上游压力,以及AO、BO和CO是由数据的二次拟合所确定的用于具体气体和孔的常数。该压力比设定流率的关系可能受过渡(非粘性)态气体流或受气体压缩性效应。确信该公式(3)的非线性二次拟合考虑到这样的误差。如果给定的流量控制器被替换,常数AO、BO和CO可再利用。
在用于流量控制器的设定点10%到100%范围内,对于三个不同孔的上游孔压力比设定流率的示范性曲线A、B和C如图5所示。在曲线A和B之间(30%到40%的设定点之间)以及曲线B和C之间(60%到80%的设定点之间)具有重叠。基于这些曲线,孔A优选地用于10%到40%的流量(因其较孔B更高的灵敏度),孔B优选地用于40%到80%的流量(因其较孔C更高的灵敏度),并且孔C优选地用于80%到100%的流量。
然后,由该上升率技术确定的实际气体流率测量以及测得的流量控制器的不同设定点的气体压力,可用来计算用于各个流量控制器的经验系数。通过孔的粘性气体流量可由方程式(4)表示。参见A.Roth,Vacuum Technology,Elsevier,p.69,1990,其通过引用整体合并入此处。
Q = AP 1 ( P 2 P 1 ) 1 / γ { ( 2 γ γ - 1 ) R 0 T 1 M [ 1 - ( P 2 P 1 ) ( γ - 1 ) / γ ] } 1 / 2 - - - ( 4 )
在该等式中,Q是通过该孔的气体流率,A是该孔的横截面流通面积,P1是上游压力,P2是下游压力,R0是通用气体常数,T是气体温度,以及M是气体的分子量。
并且,在方程式(4)中:
(5)γ=Cp/Cv
其中Cp和Cv是分别在恒定压力和体积下的气体比热。
在通过孔的阻塞流或粘性音速流,上游孔压力P1与下游压力P2无关。在粘性音速流条件下,P2/P1的压力比可由以下方程式(6)表示,参见Roth,Vacuum Technology,第72页。
(6)P2/P1=[2/(γ+1)]γ/(γ-1)=rc
对于<rc的P2/P1比,P2的变化不改变通过该孔的流量。
对于绝对流量校验,应用以下方程式:
Q = AP 1 ( P 2 P 1 ) 1 / γ { ( 2 γ γ - 1 ) R 0 T 1 M [ 1 - ( P 2 P 1 ) ( γ - 1 ) / γ ] } 1 / 2 · K a - - - ( 7 )
其中Ka是绝对流量经验系数。Ka可解决来自于粘性音速流条件的气体流中的变化、非理想气体压缩性、以及孔流量系数(其确定对于不同气体和流量的有效孔面积比物理孔面积)。
对于差异流量校验,应用以下方程式:
(8)Q=KdP1
在方程式(8)中,Kd是等于用于流量控制器的P1比Q二次曲线的差异流量经验系数。优选地为流量校验部分300的各个流量控制器、气体和孔确定Kd。优选地使用流量控制器的多个设定点确定Kd,例如,在以流动控制器满标以10%增量的十个设定点(例如,在满标的10%、20%、30%、40%、50%、60%、70%、80%、90%和100%)。Kd可解决来自于粘性音速流条件的气体流中的变化、非理想气体压缩性、以及孔流量系数(其确定对于不同气体和流量的有效孔面积比物理孔面积)。一旦K确定,通过为流量控制器选取的设定点,以及当使气体流过对于该气体流率合适的以实现粘性音速流条件的孔时测量P1,可校验给定的流量控制器。
一旦为给定的流量控制器、气体和孔建立起上游孔压力和实际气体流率的关系,则不需要重复该基于室的次级流量校验方法。当使用不同的流量控制器和/或不同的气体组成时,优选地重复基于室的次级流量校验方法。使用方程式(8),可在任何时间进行对于该流量控制器和气体的随后进行的气体流率测量,不管等离子体处理室的温度,因为该流率测量是在该室的外部进行,并因此不依赖于室条件。从而,可在环境的或升高的室温度下进行随后的气体流率测量,例如,在对半导体基片进行等离子体处理之前或期间。另外,可利用积累在室表面的聚合物沉积物进行该气体流率测量。在该实施方式中,不考虑实质的涉及室处理的改变。另外,差异流量方法和绝对流量方法可提供给系统实时的瞬时气体流率值。
当使用差异流量校验方法时,图2所示的气体供应系统的实施方式可典型地提供以下准确度(accuracy)和精确度(precision)值:准确度,≤±6%(在>5sccm气体流量时),≤±10%(在1-5sccm气体流量时);精确度(即,测量重复性):,≤±1%(在>5sccm气体流量时),≤±4%(在1-5sccm气体流量时)。但是,上升率方法和MFC操作的组合误差通常高达5%。通过使用绝对流量方法,可以建立更准确的流量校验。
如上所述,差异流量和绝对流量方法优选地利用通过孔阵列中孔的粘性音速流条件。但是,在低的气体流率下,难以获得通过孔阵列中的任何孔的粘性音速流条件。另外,在这样的低气体流率下,相较于较高的流率,压力测量的准确度会降低。例如,在小于约10sccm的设定气体流率下,难以获得粘性音速流条件以及准确的测量流率。
已经确定,对于以低流率从流量控制器供应到孔阵列的其它气体,第二气体,或者称其为“载体气体”,可用于增强气体流率测量的准确性。因此,在校验从气体供应系统进入等离子体处理室的流量的方法的一个优选的实施方式中,两种不同的气体分别从两个流量控制器同时流到气体供应系统的孔阵列。这两种气体在该孔阵列上游相结合,从而两种气体的混合物流到该孔阵列。气体混合物中的第一气体,或“种子气体”,是通过孔阵列中的孔的流率将被校验的气体。例如,种子气体可以是由调谐气体部分400供应的调谐气体。种子气体可以是,例如Ar、O2、N2、Cl2、CH3、CF4、C4F8、CH3F或CHF3。种子气体通常是组成处理气体混合物的主要部分,并具有低的流率。气体混合物中的载体气体以比种子气体高的流率流动,以提供对种子气体流率的准确测量。并不特别限制载体气体的组成,但其优选地为表现出类似于理想气体状态的气体。例如,载体气体可以是Ar、N2、He、Ne等。
种子气体以低流率从流量控制器流动。种子气体的“低流率”是小于近似最低流率的流率,在该近似最低流率之上,可产生通过孔阵列中的孔的粘性音速流条件。在种子气体的低流率下,由种子气流产生的上游孔压力,可能不足够高以由设计用于测量较高气体压力的压力传感器准确测量。在足够高以产生通过该孔的粘性音速流条件的种子气体的流率下,可准确地测量对应于该种子气流的上游孔压力。因此,在该情况下,额外的载体气体对于得以准确测量种子气体的流率而言是不需要的。通过将种子气体与载体气体相结合,载体气体以明显高于种子气体的流率流动,从而在该孔的上游产生出比当种子气体单独流过该孔时明显高的压力,该上游孔压力可利用压力传感器准确地测量,而压力传感器在可归因于种子压力单独流动的较低压力下具有较低的准确度。
参考图2,例如,载体气体可由气体源202、204、206、208、210和212中的一个流动到孔302、304、306、308和312中的一个,而种子气体可从该气体源中的另一个流动到孔302、304、306、308和312的一个中。这两种气体在孔上游的气体歧管270中混合。使用压力传感器350测量孔上游的气体压力。
在该实施方式中,可使用差异流量方法或绝对流量方法测量该气体混合物的流率。关于差异流量方法,载体气体优选地首先单独地从流量控制器流到选择的孔阵列的孔。通过合适的方法(例如上升率方法),可确定自在流量控制器选择的设定点的载体气体的实际流率。在流量控制器的至少两个设定点确定载体气体的实际流率。然后,容许载体气体的流量达到在孔阵列后面的稳定状态,从而压力在该阵列的上游侧保持稳定。然后,为载体气体流过流量控制器的相同的设定点,并测量对应的上游孔压力。实际流率和对应的上游孔压力可用于确定经验系数K,如前所述。
然后,载体气体和种子气体的混合物从两个不同的流量控制器流到孔阵列。可为载体气体流过流量控制器的相同设定点,而可为种子气体流过其它流量控制器的选择设定点。一旦达到稳定状态的流动,为该气体混合物测量对应的上游孔压力。同该载体气体单独流动相比,该气体混合物上游压力的对应增加,代表种子气体的贡献部分。由该压力差可确定种子气体的流率。
在另一个实施方式中,差异流量方法可用于检测不正常工作流量控制器以及用于校验新安装的流量控制器正确运转。当孔排组被替换时,可进行该差异流量方法,以确定是否可能需要重新建立用于替换排组的压力比孔的关系。例如,当孔排组被替换时,假设流量控制器自从上次校正后未被替换,可进行该差异流量方法以确定与原先的孔排组相比,测量的流动是否存在任何差异。如果在测量的流动中发现没有变化,或者仅是适当微小的变化,则不需要对替换孔排组重新校正。
如上所述,校验从气体供应系统进入等离子体处理室的气体流率的方法的另一个优选的实施方式是绝对流量方法。该方法不使用基于室的次级流量校验方法(例如上升率方法)以提供比较点。在绝对流量方法中,进行用于测试的孔的初步的一次性的校正,以。测量该孔横截面流通面积的直径到需要水平的准确度,优选地在约0.00001英寸(0.25微米)的公差内。另外,该气流在实验室设置下准确地产生,优选地在≤0.5%的准确度内。可使用任何方法以产生符合国际标准的气流。这些方法优选地可解决归因于理想的、非理想气体状态的影响,以及压缩性和其它气体效应。在实验室设置下,为所有可预见的气体和流动计算Ka值。然后该相同的Ka值用于所有后续的绝对流量校验器硬件设置,并且不需要再次确定。该处理方法可消除对用于差异流量校验方法的基于室的基准校正的依赖。
在该方法中,从对给定气体,可由已知和/或计算所得的值来可确定方程式(7)中的常量。这些常量包括rc(可由用于每种气体的Cp和Cv常量值确定)、R和M。
在该方法中,当气体以设定流率通过孔从流量控制器流动时,一旦上游孔压力稳定,则在气体供应系统100中测量方程式(7)中的变量T1和P1。气体温度T1可在气体供应系统100中的任何合适位置测量,例如在气体歧管270处,或者该孔的入口附近。任何合适的温度传感器,例如热电偶、电阻温度检测器(RTD)等,可用于测量气体温度。
利用在方程式(7)右侧的每个变量的确定的值,可计算出实际流率。在该绝对流量方法中,可在任何时间以及在任何室温度条件下流过任何流动控制器的设定点。
当利用绝对流量校验方法时,如图2所示的气体供应系统的实施方式通常可提供如下的准确度和精确度值:准确度≤±2%(在>5sccm气体流量时),≤±5%(在1-5sccm气体流量时);精确度:,≤±1%(在>5sccm气体流量时),≤±4%(在1-5sccm气体流量时)。该绝对流量方法通常可提供比差异流量方法更高的准确度水平,这是因为与由用于计算Kd(例如,约3%到5%的误差)的上升率方法测量流率值相比,Ka值具有更高的准确度(例如,小于0.5%的误差)。
当利用绝对流量校验方法时,如图3所示的气体供应系统的实施方式通常可提供如下的准确度和精确度值:准确度≤±1.25%(对下至1sccm的气体流量);精确度:,≤±0.5%(对下至1sccm的气体流量)。该实施方式可使用专门测量气体流量的硬件,或者可用在图2所示的气体供应系统的实施方式的修改构造中,以获得流量校验的更高的准确度。
在另一个实施方式中,当使用载体气体和以低流率流动的种子气体的气体混合物时,该绝对流量方法可用于校验气体流率。在该实施方式中,该气体混合物以粘性流形式通过孔音速地或超音速地扩张。该低百分比的种子气体组分加速或减速,以具有与载体气体相同的平均速率。因为种子气体组成该气体混合物的一小部分,所以,在该实施方式中,假设来自种子气体的物理参数对气体混合物的贡献可在使用方程式(7)的流量计算中忽略不计。也就是说,在方程式(7)中,仅是单独的载体气体,而不是气体混合物,来确定参数Cp、Cv和M。
已根据优选的实施方式对本发明进行了描述。但是,本领域的技术人员容易理解,在不偏离本发明主旨的范围内,可能以不同于上述实施方式的其它特定形式而实施本发明。该优选的实施方式是说明性的,而不应当以任何方式理解为限制性的。本发明的范围由后附的权利要求而非在前的说明给出。并且,该权利要求意在涵盖落入其范围内的所有变型和等同方式。

Claims (29)

1.一种用于校验从气体供应系统到等离子体处理室的处理气体流率的方法,所述方法包括:
a)设置第一流量控制器于第一设定点,并且以来自所述第一流量控制器的第一设定流率流动气体,所述气体通过孔阵列的第一孔流入在环境温度下的等离子体处理室中;
b)设置所述第一流量控制器于第二设定点,并且以来自所述第一流量控制器的第二设定流率流动所述气体,所述气体通过所述孔阵列的所述第一孔或第二孔流入在环境温度下的所述等离子体处理室中;
c)为各所述第一和第二设定流率,测量所述气体进入所述等离子体处理室的实际流率;
d)确定所述第一流量控制器的所述第一和第二设定流率以及所述实际流率之间的关系;
e)分别测量在所述第一和第二设定流率下,所述第一和第二孔上游的气体压力,所述室处于环境温度下;
f)使用所述实际流率以及所述测得的所述第一和第二设定流率的上游气体压力,确定各所述第一孔和第二孔的所述第一流量控制器的经验系数Kd
g)设置所述第一流量控制器于第三设定点,并且以来自所述第一流量控制器的第三设定流率流动所述气体,所述气体通过所述第一或第二孔流入所述等离子体处理室中;
h)测量在所述第三设定流率下,所述第一或第二孔上游的气体压力;以及
i)使用分别对于所述第一或第二孔的测得的气体压力以及Kd,确定以所述第三设定流率通过所述第一或第二孔的气体流率。
2.根据权利要求1所述的方法,其中,所述气体以粘性音速流率通过所述第一和第二孔流动。
3.根据权利要求1所述的方法,其中,当所述气体以所述第一设定流率和第二设定流率的每个流入所述等离子体处理室中时,通过测量所述等离子体处理室内的压力增加速率,确定所述气体的实际流率。
4.根据权利要求1所述的方法,其中,对于g),所述等离子体处理室处于高于环境温度的温度。
5.根据权利要求4所述的方法,其中,g)在所述等离子体处理室中半导体基片的等离子体处理之前或期间进行。
6.根据权利要求1所述的方法,包括对第二流量控制器以及相同或不同的气体重复a)-i)。
7.根据权利要求1所述的方法,其中,所述等离子体处理室包括内部和外部区域,通过所述内部和外部区域,由喷头电极通过所述孔阵列提供处理气体。
8.根据权利要求1所述的方法,包括在a)-c)之后进行e)。
9.根据权利要求1所述的方法,包括与a)-c)同时进行e)。
10.根据权利要求1所述的方法,包括,对于a)、b)和g),利用所述气体供应系统的控制部分自动确定所述气体从所述第一流量控制器流到的所述孔阵列的第一或第二孔,对于各个所述第一、第二和第三设定流率,所述气体以粘性音速流率通过所述第一孔和/或所述第二孔流动。
11.根据权利要求1所述的方法,其中,所述第一和第二流量控制器是质量流量控制器。
12.根据权利要求1所述的方法,其中,所述气体是调谐气体。
13.根据权利要求1所述的方法,包括利用各自的替换流量控制器,替换所述第一流量控制器和/或所述第二流量控制器,并重复a)-f)。
14.根据权利要求1所述的方法,包括利用不同的气体重复a)-f)。
15.一种计算机可读介质,其具有存储于其上的计算机可读程序代码,所述计算机可读介质实现权利要求1的方法。
16.一种用于校正从气体供应系统到等离子体处理室的处理气体流率的方法,所述方法包括:
a)设置第一流量控制器于第一设定点,并且以来自所述第一流量控制器的第一流率流动第一气体,所述第一气体以粘性声速流率通过孔阵列的第一孔进入等离子体处理室;
b)测量在所述第一流率下,所述第一孔上游的所述第一气体的压力(P1)和温度(T1);
c)测量所述第一孔的横截面流通面积(A);
d)确定所述第一气体在恒定体积下的比热(Cv)、在恒定压力下的比热(Cp)、分子量(M)以及绝对流量经验系数(Ka);以及
e)使用以下方程式(i)-(iii),计算通过所述第一孔的所述第一气体的流率Q:
( i ) , Q = AP 1 ( P 2 P 1 ) 1 / γ { ( 2 γ γ - 1 ) R 0 T 1 M [ 1 - ( P 2 P 1 ) ( γ - 1 ) / γ ] } 1 / 2 · K a
其中R0为通用气体常数;
(ii)γ=Cp/Cv,以及
(iii)P2/P1=[2/(γ+1)]γ/(γ-1)=rc
17.根据权利要求16所述的方法,其中,所述等离子体处理室包括内部和外部区域,通过所述内部和外部区域,由喷头电极通过所述孔阵列提供处理气体。
18.根据权利要求16所述的方法,其中,所述第一流量控制器是质量流量控制器。
19.根据权利要求16所述的方法,包括:
设置所述第一流量控制器于第二设定点,并且以来自所述第一流量控制器的第二流率流动所述第一气体,所述第一气体以粘性音速流率通过所述孔阵列的所述第一孔或第二孔流入所述等离子体处理室;
对所述第一流量控制器的所述第二设定点重复b)-e)。
20.根据权利要求16所述的方法,对至少第二流量控制器重复a)-e)。
21.一种计算机可读介质,其具有存储于其上的计算机可读程序代码,所述计算机可读介质实现权利要求16的方法。
22.一种用于校验从气体供应系统到等离子体处理室的处理气体流率的方法,所述方法包括:
a)设置第一流量控制器于第一设定点,并且以来自所述第一流动控制器的第一流率流动载体气体,所述载体气体以粘性音速流率通过孔阵列的第一孔流入等离子体处理室;
b)测量在所述第一流率下,所述第一孔上游的所述载体气体的压力(P1)和温度(T1);
c)测量所述孔的横截面流通面积(A);
d)确定所述载体气体在恒定体积下的比热(Cv)、在恒定压力下的比热(Cp)、分子量(M)以及绝对流量经验系数Ka
e)使用以下方程式(i)-(iii),计算通过所述孔的所述载体气体的流率Q;
( i ) , Q = AP 1 ( P 2 P 1 ) 1 / γ { ( 2 γ γ - 1 ) R 0 T 1 M [ 1 - ( P 2 P 1 ) ( γ - 1 ) / γ ] } 1 / 2 · K a
其中R0为通用气体常数;
(ii)γ=Cp/Cv,以及
(iii)P2/P1=[2/(γ+1)]γ/(γ-1)=rc
e)然后设置第二流量控制器于第二设定点,并且以来自所述第二流量控制器的第二流率流动种子气体,所述种子气体与所述载体气体相混合以形成气体混合物,所述气体混合物通过所述第一孔流动并进入所述等离子体处理室,当所述种子气体单独流过所述第一孔时,所述第二流率为亚音速的和/或非粘性的;
f)测量所述第一孔上游的所述气体混合物的压力(P1)和温度(T1);
g)使用方程式(i)和所述载体气体的Cv、Cp、M和Ka,计算通过所述第一孔的气体混合物的流率Q;以及
h)将所述载体气体的Q值与所述气体混合物的Q值相比较,以确定通过所述第一孔的所述种子气体的流率。
23.根据权利要求22所述的方法,其中,所述等离子体处理室包括内部和外部区域,通过所述内部和外部区域,由喷头电极提供处理气体。
24.根据权利要求22所述的方法,其中,所述第一流量控制器是质量流量控制器。
25.一种计算机可读介质,其具有存储于其上的计算机可读程序代码,所述计算机可读介质实现权利要求22的方法。
26.一种适于供应处理气体进入等离子体处理室的气体供应系统,包括:
适于与气体供应部分流动连通的气体管线;
与所述气体管线流动连通的孔阵列,所述孔阵列包括至少两个孔;
与所述孔阵列流动连通的第一压力传感器,所述第一压力传感器适于测量所述孔阵列上游的第一气体压力范围;以及与所述孔阵列流动连通的第二压力传感器,所述第二压力传感器适于测量所述孔阵列上游的第二气体压力范围,所述第二气体压力范围具有高于所述第一气体压力范围上限值的上限值。
27.根据权利要求26所述的气体供应系统,其中,进一步包括包括有多个气体源的气体供应部分。
28.根据权利要求26所述的气体供应系统,其中,所述等离子体处理室包括内部和外部区域,通过所述内部和外部区域,由喷头电极通过所述孔阵列提供处理气体。
29.根据权利要求26所述的气体处理系统,进一步包括:
与各个孔相关联的阀,用以控制通过所述孔的所述气体流量;以及
控制部分,其适于控制所述第一和第二压力传感器以及各个所述阀的运行。
CN200680025770.5A 2005-07-12 2006-07-05 用于校验从气体供应系统进入等离子体处理室的气体流率的方法 Active CN101583855B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/178,323 US20070021935A1 (en) 2005-07-12 2005-07-12 Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US11/178,323 2005-07-12
PCT/US2006/026095 WO2007008509A2 (en) 2005-07-12 2006-07-05 Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber

Publications (2)

Publication Number Publication Date
CN101583855A true CN101583855A (zh) 2009-11-18
CN101583855B CN101583855B (zh) 2015-02-18

Family

ID=37637713

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680025770.5A Active CN101583855B (zh) 2005-07-12 2006-07-05 用于校验从气体供应系统进入等离子体处理室的气体流率的方法

Country Status (6)

Country Link
US (2) US20070021935A1 (zh)
KR (2) KR101278863B1 (zh)
CN (1) CN101583855B (zh)
MY (1) MY155016A (zh)
TW (1) TWI398546B (zh)
WO (1) WO2007008509A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104704434A (zh) * 2012-09-25 2015-06-10 Mks仪器公司 用于压力式质量流控制器自我校验的方法和设备
CN108601558A (zh) * 2015-12-28 2018-09-28 普林斯顿大学 弹性线丝速度传感器
CN109477752A (zh) * 2016-06-27 2019-03-15 应用材料公司 用于基于扼流的质量流验证的方法、系统和设备
CN109564119A (zh) * 2016-08-24 2019-04-02 株式会社富士金 压力式流量控制装置、其流量算出方法以及流量控制方法
CN112556798A (zh) * 2019-09-26 2021-03-26 武汉国测数据技术有限公司 一种便于校验误差的流量测量系统和误差校验方法

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR101167534B1 (ko) * 2003-04-25 2012-07-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 패턴의 제작방법 및 액적 토출장치
US7757554B2 (en) * 2005-03-25 2010-07-20 Mks Instruments, Inc. High accuracy mass flow verifier with multiple inlets
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US7881886B1 (en) * 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US8340827B2 (en) * 2008-06-20 2012-12-25 Lam Research Corporation Methods for controlling time scale of gas delivery into a processing chamber
TWI435196B (zh) 2009-10-15 2014-04-21 Pivotal Systems Corp 氣體流量控制方法及裝置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110232588A1 (en) * 2010-03-26 2011-09-29 Msp Corporation Integrated system for vapor generation and thin film deposition
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
CN107148661B (zh) * 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9934956B2 (en) * 2015-07-27 2018-04-03 Lam Research Corporation Time multiplexed chemical delivery system
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10031004B2 (en) * 2016-12-15 2018-07-24 Mks Instruments, Inc. Methods and apparatus for wide range mass flow verification
KR20200092375A (ko) 2017-12-01 2020-08-03 엠케이에스 인스트루먼츠 인코포레이티드 라디칼 가스 및 단기 분자를 위한 다중 센서 가스 샘플링 검출 시스템 및 사용 방법
JP6904231B2 (ja) * 2017-12-13 2021-07-14 東京エレクトロン株式会社 基板処理方法、記憶媒体及び原料ガス供給装置
KR102641752B1 (ko) 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
JP7411479B2 (ja) 2020-03-31 2024-01-11 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法
CN112578824B (zh) * 2020-11-13 2022-11-18 云南国钛金属股份有限公司 一种反应器压力稳定控制装置及方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI73315C (fi) * 1984-06-15 1987-09-10 Nokia Oy Ab Kalibreringssystem foer kalibrering av massastroemreglerare.
US5220515A (en) * 1991-04-22 1993-06-15 Applied Materials, Inc. Flow verification for process gas in a wafer processing system apparatus and method
JPH06240456A (ja) * 1992-12-21 1994-08-30 Kawasaki Steel Corp 半導体装置のアルミニウム配線の形成方法及び装置
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5944048A (en) * 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
US5968588A (en) * 1997-03-17 1999-10-19 Applied Materials, Inc. In-situ liquid flow rate estimation and verification by sonic flow method
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6062729A (en) * 1998-03-31 2000-05-16 Lam Research Corporation Rapid IR transmission thermometry for wafer temperature sensing
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6363958B1 (en) * 1999-05-10 2002-04-02 Parker-Hannifin Corporation Flow control of process gas in semiconductor manufacturing
US6119710A (en) * 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6430985B1 (en) * 1999-08-05 2002-08-13 Johnson Controls Technology Company Multiple point calibrated HVAC flow rate controller
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
TW512180B (en) * 2000-09-21 2002-12-01 Promos Technologies Inc Method for maintaining the cleanness of a vacuum chamber of physical vapor deposition system
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
TW200507141A (en) * 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7216019B2 (en) * 2004-07-08 2007-05-08 Celerity, Inc. Method and system for a mass flow controller with reduced pressure sensitivity
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7153709B1 (en) * 2004-08-31 2006-12-26 Advanced Micro Devices, Inc. Method and apparatus for calibrating degradable components using process state data
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104704434A (zh) * 2012-09-25 2015-06-10 Mks仪器公司 用于压力式质量流控制器自我校验的方法和设备
US10031005B2 (en) 2012-09-25 2018-07-24 Mks Instruments, Inc. Method and apparatus for self verification of pressure-based mass flow controllers
US10801867B2 (en) 2012-09-25 2020-10-13 Mks Instruments, Inc. Method and apparatus for self verification of pressured based mass flow controllers
CN108601558A (zh) * 2015-12-28 2018-09-28 普林斯顿大学 弹性线丝速度传感器
CN108601558B (zh) * 2015-12-28 2020-12-18 普林斯顿大学 弹性丝速度传感器
CN109477752A (zh) * 2016-06-27 2019-03-15 应用材料公司 用于基于扼流的质量流验证的方法、系统和设备
CN109564119A (zh) * 2016-08-24 2019-04-02 株式会社富士金 压力式流量控制装置、其流量算出方法以及流量控制方法
CN109564119B (zh) * 2016-08-24 2020-06-23 株式会社富士金 压力式流量控制装置、其流量算出方法以及流量控制方法
CN112556798A (zh) * 2019-09-26 2021-03-26 武汉国测数据技术有限公司 一种便于校验误差的流量测量系统和误差校验方法
CN112556798B (zh) * 2019-09-26 2024-01-26 深圳电蚂蚁数据技术有限公司 一种便于校验误差的流量测量系统和误差校验方法

Also Published As

Publication number Publication date
US9234775B2 (en) 2016-01-12
WO2007008509A3 (en) 2009-04-23
MY155016A (en) 2015-08-28
US20140033828A1 (en) 2014-02-06
KR20120135928A (ko) 2012-12-17
TW200710264A (en) 2007-03-16
KR101278863B1 (ko) 2013-07-01
KR101339561B1 (ko) 2013-12-10
CN101583855B (zh) 2015-02-18
KR20080031406A (ko) 2008-04-08
TWI398546B (zh) 2013-06-11
WO2007008509A2 (en) 2007-01-18
US20070021935A1 (en) 2007-01-25

Similar Documents

Publication Publication Date Title
CN101583855B (zh) 用于校验从气体供应系统进入等离子体处理室的气体流率的方法
US10801867B2 (en) Method and apparatus for self verification of pressured based mass flow controllers
US7743670B2 (en) Method and apparatus for gas flow measurement
US7424895B2 (en) Method and system for flow measurement and validation of a mass flow controller
KR102146011B1 (ko) 질량 유량 제어기 검증을 위한 시스템들 및 방법들
Rashap et al. Control of semiconductor manufacturing equipment: Real-time feedback control of a reactive ion etcher
CN101672669B (zh) 气体流速校验系统和方法
KR101512961B1 (ko) 오리피스 비율 전도성 제어를 이용하여 유동 분할 에러들을 감소시키기 위한 장치 및 방법들
JP2010510491A (ja) 実流量の妥当性確認の実施方法
CN101111628A (zh) 用于单层沉积的方法和装置
US9164517B2 (en) Maintaining flow rate of a fluid
CN1603991A (zh) 旁路环气体流量校准
US8671733B2 (en) Calibration procedure considering gas solubility
US20190348312A1 (en) Methods and apparatus for detecting an endpoint of a seasoning process
EP4032113A1 (en) Low temperature thermal flow ratio controller
CN102136412A (zh) 半导体制造装置、半导体器件制造方法及仿真装置和程序
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
Mozumder et al. A monitor wafer based controller for semiconductor processes
US8148268B2 (en) Plasma treatment apparatus and plasma treatment method
KR20220146514A (ko) 압력 기반 질량 유량비 제어 방법 및 장치
Penley et al. A Holistic Approach Toward UHP Gas Delivery System Design Reduces Stochastic Variability Of Reactant Distribution In Plasma Etch And Deposition Equipment: EO: Equipment Optimization
KR20240017819A (ko) 압력-기반 질량 유량비 제어 방법 및 장치
JP2024521576A (ja) 圧力ベースの質量流量比制御のための方法及び装置
Collins Model-based equipment diagnosis for semiconductor manufacturing
Freudenberg et al. 286 zyxwvutsrqponmlkjihgfedcbaZ

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant