CN101470344A - 用于对全芯片图案实施图案分解的方法 - Google Patents

用于对全芯片图案实施图案分解的方法 Download PDF

Info

Publication number
CN101470344A
CN101470344A CNA200810173349XA CN200810173349A CN101470344A CN 101470344 A CN101470344 A CN 101470344A CN A200810173349X A CNA200810173349X A CN A200810173349XA CN 200810173349 A CN200810173349 A CN 200810173349A CN 101470344 A CN101470344 A CN 101470344A
Authority
CN
China
Prior art keywords
critical
fritter
block graphics
fritters
target pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200810173349XA
Other languages
English (en)
Other versions
CN101470344B (zh
Inventor
陈洛祁
陈虹
李江伟
罗伯特·约翰·索卡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Brion Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV, Brion Technologies Inc filed Critical ASML Netherlands BV
Publication of CN101470344A publication Critical patent/CN101470344A/zh
Application granted granted Critical
Publication of CN101470344B publication Critical patent/CN101470344B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明公开了一种用于将包括要印制到晶片上的特征的目标图案分解成多个图案的方法。所述方法包括步骤:将所述目标图案分割成多个小块;识别每个小块内违反最小间隔要求的临界特征;为具有临界特征的所述多个小块中的每一个生成临界组图形,其中给定小块的所述临界组图形限定所述给定小块内的所述临界特征的着色方案,并且所述临界组图形还识别延伸到与所述给定小块相邻的邻接小块的临界特征;对于所述目标图案生成整体临界组图形,所述整体临界组图形包括所述多个小块中的每一个的所述临界组图形和延伸到邻接小块的所述特征的识别;以及基于所述整体临界组图形限定的所述着色方案着色所述目标图案。

Description

用于对全芯片图案实施图案分解的方法
技术领域
本发明的技术领域总体涉及一种方法、程序产品和设备,所述方法、程序产品和设备用于把目标图案分解成多个图案以允许例如利用多个掩模在多照射工艺中将所述目标图案成像,更具体地,是涉及一种方法,其用于对于全芯片(full chip design)图案实施图案分解。
背景技术
光刻设备可以用于例如制造集成电路(ICs)。在这种情况下,掩模包括与所述IC的单层相对应的电路图案,并且所述图案能够成像到衬底(硅晶片)上的目标部分(例如,包括一个或多个管芯)上,所述衬底覆盖有辐射敏感材料(抗蚀剂)层。通常,单个衬底将包含通过投影系统一次一个地被连续曝光的相邻目标部分的整个网络。在一种类型的光刻投影设备中,通过将全部掩模图案一次曝光到所述目标部分上来辐射每一个目标部分;这样的设备通常称为晶片步进机。在可选择的设备中,通常称为步进-扫描设备,是通过投影辐射束沿给定参考方向(“扫描”方向)渐进地扫描所述掩模图案、同时沿与该方向平行或反向平行的方向扫描所述衬底台来辐射每一个目标部分。由于通常所述投影系统将具有放大率M(通常小于1),所以衬底台的扫描速度V将是放大率M乘以掩模台的扫描速度。关于在此所描述的光刻装置的更多信息可以例如从US6,046,792中收集,该专利的内容以引用的方式并入本文中。
在采用光刻投影设备的制造过程中,掩模图案被成像到至少部分被辐射敏感材料(抗蚀剂)层所覆盖的衬底。在该成像步骤之前,所述衬底可能经历了多个工序,例如涂底漆、涂覆抗蚀剂和软烘烤。在曝光后,所述衬底可能经历其它工序,例如曝光后烘烤(PEB)、显影、硬烘烤和被成像的特征的测量/检验。该组工序被用作对器件(例如IC)的单层进行图案化的基础。这种图案化的层之后可能经历多种工艺,例如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所有这些工艺都试图制成单层。如果需要多个层,则这个工艺或其变体将不得不针对每个新层进行重复。最后,器件阵列将存在于衬底(晶片)上。然后,这些器件通过例如划片或锯割等技术被相互分离,从此,可以把独立的器件安装到载体上,所述载体连接到管脚上等。
为了简化起见,投影系统此后可以被称为“透镜”;然而,该术语应当被广义地解释为包括各种类型的投影系统,例如,包括折射式光学系统、反射式光学系统和反射折射式光学系统。辐射系统还可能包括根据这些设计类型中的任何一种而操作的部件,以引导、成形或控制投影辐射束,且在下文中这些部件也被统称或单独称为“透镜”。进而,光刻设备可能是具有两个或更多个衬底台(和/或两个或更多个掩模台)的类型。在这种“多台”器件中,附加的台可以被并行地使用,或者可以在一个或更多个台用于曝光的同时,在一个或更多个其它台上执行预备步骤。双台光刻设备例如在US5,969,441中被描述,该专利的内容在此以引用的方式合并入本文中。
如上所述的光刻掩模包括与将被集成到硅晶片上的电路部件相对应的几何图案。用于形成这种掩模的图案采用CAD(计算机辅助设计)程序生成,该过程经常被称为EDA(电子设计自动化)。大多数CAD程序遵循一组预定的设计规则,以便形成功能化掩模。这些规则通过处理和设计的限制条件而被设定。例如,设计规则限定了在电路器件(例如栅极、电容等)或互连线之间的间隔的公差,以便确保所述电路器件或线不会以不希望的方式相互作用。设计规则的限制通常被称为“临界尺寸”(CD)。电路的临界尺寸可以被定义为线或孔的最小宽度或者在两条线或两个孔之间的最小间隔。于是,CD确定所设计的电路的总体尺寸和密度。
当然,在集成电路制造中的目标之一是忠实地将原始电路图案复制到晶片上(通过掩模)。随着目标图案的临界尺寸日益变小,将目标图案复制到晶片变得越来越难。不过,现在已经有技术能将成像或复制到晶片上的最小临界尺寸CD减小。一种这样的技术是双重曝光技术,其以两次分立曝光的方式将目标图案的特征成像。
例如,一种熟知的双重曝光技术被称为双重图案化或DPT。这种技术允许将给定的目标图案的特征分离到两个不同掩模中,然后分别地成像形成所需的图案。当目标特征互相间隔太接近以致于不可能对单个特征成像时,这种技术被典型地应用。在这种情况下,将目标特征分离到两个掩模,使得在一个给定掩模上的所有特征互相之间充分间隔开,从而可以对每个特征单独地成像。然后,通过将两个掩模以连续的方式成像(以适当的屏蔽),可以获得用单一掩模不能够正确成像的具有密集间隔的特征的目标图案。
因而,是可以通过将目标特征分离到两个分立的掩模、使得在给定的掩模上的每个特征之间的间距大于成像系统的分辨能力来改善成像性能的。事实上,上面提到的双重曝光技术允许k1<0.25。然而,目前已知的双重曝光技术仍然存在问题和限制。
例如,已有的基于规则的方法和基于模型的方法不允许迅速或有效率地处理大的全芯片图案。也就是说,许多现有的方法缺乏可量测性(scalability)。而且,目前典型的图案分裂算法应用矩形或单个多边形作为基本分裂/分离单元。应用这种单个多边形作为分离所述图案的基础通常需要太多的用以整体解决全芯片图案的资源,因而不具有实用性。
因而,需要一种用于图案分解的方法,所述方法能够容易地适用于全芯片图案,并且解决前述的现有技术中图案分解方法中的问题。
发明内容
根据前面所述,本发明的目标在于通过提供一种分解方法克服已知现有技术中的不足,其中所述分解方法显示出良好的可量测性并且能够容易地适用于全芯片图案。正如下面进一步详细的介绍,所述方法需要将原始图案分割成一系列的小块(patch),然后以并行的方式处理这些小块(也就是,在给定的小块内分解所述特征)。此外,在所述小块的边界上,要考虑邻接小块的分裂/分解结果,并且做出调整使得所述单个小块的边界与其他小块一致(也就是,避免在邻接小块的边界上的着色冲突(coloringconflict))。
总的来说,本发明提供一种用于将包括多个要印制到晶片上的特征的目标图案分解成多个图案的方法。所述方法包括:将目标图案分割成多个小块;在每一个小块内识别违反最小间隔要求的临界特征;给具有临界特征的多个小块中的每一个生成临界组图形(critical group graph),其中给定小块的所述临界组图形在所述给定的小块内限定临界特征的着色方案,并且所述临界组图形识别延伸到与所述给定小块邻接的邻接小块的临界特征;生成用于目标图案的整体临界组图形,其中所述整体临界组图形包括所述多个小块中的每一个的所述临界组图形,和识别延伸到邻接小块的所述特征;和,基于所述整体临界组图形限定的所述着色方案对所述目标图案着色。
正如下面更详细的介绍,本发明的所述方法提供许多超越已知分解方法的优点。最为重要的是,所述方法提供一种快速并且有效率的分解全芯片图案或设计的方法。尤其是,本发明的所述方法允许并行地处理(也就是分解)所述图案的小块,因而减少了完成分解过程所需的时间。所述方法还提供,通过应用“临界组图形”,一种灵活的能容易地结合在前的小块级分解或着色的方案。此外,所述方法还提供通过应用“临界组”减少用以在全芯片级别进行处理所需的数据量的内容,因而显著地减少了数据处理能力需求和完成分解过程所需的时间。最后,本发明的“整体图形着色解决方案(Global Graph Solver)”的分级结构提供用于处理大的全芯片图案的良好的可量测性。
通从下文的本发明的示例性实施例的详细描述中,本发明的附加优势对于本领域的技术人员是显而易见的。
尽管在本文中已经对于将本发明用于IC的制造中进行了具体的参考,但是应当清楚地理解,本发明具有许多其他可能的应用。例如,其可以被用于集成光学系统、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等的制造中。本领域的技术人员应当理解,在这种可替代的应用的情况下,本文中的任何使用的术语“掩模版”、“晶片”或“管芯”应当被理解为能够分别由更上位的术语“掩模”、“衬底”和“目标部分”所替代。
本发明本身,与另外的目标和优势可以参照下列详细的描述和所附的示意图更好地理解。
附图说明
图1是本发明的基于小块的并行处理分解过程的示例性图示说明;
图2a示出常规图案分裂/着色算法的高级流程图;
图2b是本发明所述方法的高级流程图;
图3是说明实施与本发明的阶段I相关的示例性方法的流程图;
图4a-4c和图5示出与给定特征相关的示例性最小间隔需求的应用;
图6示出与给定小块相关的示例性临界组;
图7示出与图6中示出的临界组相关的示例性临界组图形;
图8a和8b示出示例性整体临界组图形;
图9是说明实施与本发明阶段II相关的所述过程的示例性方法的流程图;
图10是与所述整体图形着色解决方案相关的等级结构的示例性说明;
图11(a)-11(c)示出本发明“底部向上”步骤算法的应用实例;
图12(a)和12(b)示出本发明“底部向下”步骤算法的应用实例;
图13是根据本发明实施例的说明能实现照射优化的计算机系统的方框图;
图14示意地示出一种适于应用通过本公开技术的帮助而设计成的掩模的示例性光刻投影设备。
具体实施方式
正如下面进一步详细介绍,本发明的分解过程提供一种方法,所述方法用于以单次和计算有效的方式实施全芯片分解。一般说来,所述方法将全芯片图案分成多个单个小块,然后单个地分解/着色/分裂每个小块。优选地,所述小块的处理以并行的方式实施。其后,所述方法确定各个单个小块的边界彼此一致(也就是说,避免临近小块边界上的着色冲突),并且在需要的位置上进行调整。通过以前述的方式进行所述的图案分解,本发明改善了可量测性并且能够迅速地应用到全芯片图案中。
图1示例性图示地说明本发明的基于小块的并行处理分解方法。参见图1,依照所述方法,全芯片图案分割成多个小块12。在优选的实施例中,所述小块12具有相等的尺寸和形状,并且包括对应于所述给定小块12位置的所述全芯片图案的所述部分。然而,也可以把全芯片图案分成不同尺寸和形状的小块。图1也图示了本发明的所述并行处理的情形。主机/计算机14,用于监视分解过程,将与每个单个小块12相关的图案数据分配到分立的处理器16上(所谓的叶片机(leaf machine)),使得每个叶片机16能够在分配到所述给定叶片机的所述给定小块12内以与其他叶片机16并行的方式处理/分解所述多个特征。
图2a示出了常规的现有技术图案分裂/着色算法的高级流程图。如图所示,第一步骤21是将所述图案分段成多个片段,然后下一步骤22是将包括在每一个片段的多个特征分裂或着色到多个图案。正如所熟知的以及上面背景技术中介绍的,如果所述多个特征之间的位置太接近(也就是说,在所用的给定工艺的分辨率限制以下),那么多个特征(也就是这里所谓的多边形)就不能印制在同一个掩模中。这种硬性限制被称为特征之间的“临界关系”。在所述工艺中最后步骤23是在将光学邻近校正(OPC)技术典型地应用到所述图案中后,把多个片段结合起来(也就是缝合)。
因而,为了能正确地将特征成像,如果特征具有临界关系则两个特征不能设置在单个掩模上,所述临界关系典型地限定所用的给定光刻工艺的两个特征之间的最小间隔。值得注意的是,支持和满足所述临界关系是关系到全芯片分解的主要问题,因为所述临界关系能够跨过多个小块(例如,在多个小块里可能存在一系列的特征,并且所述特征通过一系列的临界关系连接起来)。而且,尝试在局部层次上解决临界关系的矛盾和干扰证明是很困难的。
如图2b是本发明所述方法的高级流程图。如图所示,所述方法实质上分成四个阶段:阶段I、阶段II、阶段III和阶段IV。阶段I包括初始分裂步骤24和构建“临界组图形”步骤25。在阶段I,把目标图案分裂成一系列的基本小块12,每个所述小块包括将要成像的多边形或多个特征。如下面所说的,为了标示通过临界关系连接的特征或多边形(也就是说,识别那些互相太接近而违反所述临界关系的特征),定义了临界组。换句话说,相互具有临界关系的多边形被分组到一个临界组。值得注意的是,对于给定小块的特征/多边形,包括在所述临界组的特征具有缺省的分裂/分解过程。存在两种可能的状态:保持所述缺省的分裂/着色过程或与所述缺省分裂/着色过程相反。之后,构建临界组图形,所述临界组图形用来编码不同的临界组之间的相关性。
值得注意的是,存在两种用来构建所述临界组图形的基本类型关系(也就是,约束)。第一种是,如果两个临界多边形是通过非临界多边形连接的,优选地保持所述两个多边形在同一个层上。第二种是,在小块的边界处,在不同小块中相同的多边形应该分配有相同的颜色,这以整体层次反映出来着色(也就是说,所述整体临界组图形)。
阶段II包括实施整体临界组图形解决方案(Global Critical GroupGraph Solver)的所述方法的步骤26。正如下面详细介绍的那样,整体临界组图形解决方案用于给每个临界组分配状态,同时尝试保持所述重要相关性。例如,如上面指出的,如果两个临界多边形通过非临界多边形连接,优选地将它们保持在同一个层上。或者换句话说,存在与把所述多边形分离到两个掩模(层)上相关的“损失(cost)”。这个损失可以通过适当的适印性分析计算。在这里公开的实施例中,使用临界多边形之间的距离估计所述损失。其次,在小块的边界处,不同小块中相同的多边形必须分配同一颜色。这些类型的约束是硬性约束。
在阶段II过程中,在每一个临界组内所述特征/多边形通过所述状态和它们的缺省分裂结构来确定(也就是分裂或着色)。值得注意的是,在优选的实施例中,对于全芯片整体临界组图形,阶段II整个在主机中完成。还值得注意的是,在阶段III和阶段IV中实施的工艺可以并行地用多个叶片机16来完成。
阶段III包括着色/分裂非临界多边形/特征的步骤27。更为具体的是,在每个小块中,考虑到临界多边形的分裂结果,应用“局部着色解决方案”分裂剩余的非临界多边形。阶段III完成后,所有多边形都分裂到两个层和/或图案上。
最后,阶段IV包括在两个(或更多)通过分解过程产生的层上结合和实施OPC的步骤28,以确保通过双重图案化工艺获得的所述结合和最终的图像是正确的。下面介绍上述各阶段更多的细节。说明的是,OPC工艺是所述方法的光学步骤。
图3是说明实施与阶段I相关工艺的示例性方法的流程图。如图3所示,垂直虚线将由所述主机14实施的工艺(也就是,虚线左边的过程)和由叶片机16实施的工艺(也就是,虚线右边的过程)分开。应该注意的是,所述主机和所述叶片机之间的工艺分割并不限于图3中示出的那样。还应该注意的是,在叶片机上实施的所述工艺可以独立进行,因而允许所述工艺能够相互并行地实施,因此提高了整个工艺的实施速度。
图3示出的工艺具有基本上两个循环,这里所述循环被称为“小块内部循环(inside patch round)”和“小块边界合并循环(patch boundary mergeround)”。通常,在“小块内部循环”内,构建每个小块内的临界组图形。然后,对应每个小块结合所述临界组图形,以获得除不同小块中的临界组之间的连接之外的对于全芯片的所述临界组图形。之后,在“小块边界合并”循环中,加入跨过小块边界的所述临界组之间的连接。最后,结合小块边界合并循环的结果得到全芯片整体临界组图形。
更具体地,在“小块内部循环”过程中,主机将每个小块12的特征数据分配到其中一个叶片机16中,然后所述叶片机为每一个单个小块12构建“临界组图形”。通过实施下列步骤为每一个小块12构建“临界组图形”,所述步骤包括:(a)“形状分裂”,其将给定小块12中目标图案的所述多边形/特征分裂或分割到更小的矩形或多边形;(b)“标示/识别临界组”,其检测是否给定小块的任一多边形违反所述临界关系,以及如果违反所述临界关系,则将这些多边形放置在临界组中并且对于在临界组中的所述特征获得缺省分裂/着色排列;和(c)通过评估邻近的临界组之间的不同分裂结构的相关性生成临界组图形,然后把加权的边缘(edge)加入到所述临界组图形中。值得注意的是,在这个循环过程中,为所述给定小块内的多边形构建所述临界组图形。例如,如图7所示,如果存在属于两个临界组并且通过非临界多边形连接的临界多边形,则在这两个临界组之间加入边缘或线。在给出的公开中,还应注意的是,在临界组图形中,顶点标示临界组,并且边缘(或线)表示临界组之间的相关性。此外,所述加权表示所述给定相关性的重要性。例如,在小块的边界处,不同小块中相同的多边形必须分配到相同的颜色(层)。也就是,如前面指出的,优选地将连接的多边形保持相同的颜色(也就是层)。
与每个小块的每个临界组图形相关的结果从叶片机返回到主机,然后如下面进一步详细介绍的,主机将这些结果合并到内部小块临界组图形中为全芯片图案形成整体临界组图形。
图3示出了与阶段I相关的整个分解/着色过程的示例性方法。参见图3,并且结合上面指出的,起初主机14接受适当数据格式的目标图案(步骤30),然后把目标数据分割成多个小块(步骤31)并且将这些小块分配到叶片机(步骤32),这样每个叶片机能够实施为所述给定小块12生成临界组图形的过程。
一旦与给定小块相关的所述目标数据输入到所述给定叶片机16,所述叶片机16就实施形状分裂处理(步骤33)。在形状分裂过程中,应用一系列的预定规则分裂/分解所述给定小块的多边形或特征,所述规则是一系列的基于例如但不限于将要应用的所述具体光刻条件针对给定层的几何限定。例如,如图4(a)-(c)所示,给定的多边形的冲突区域可以通过利用三条规则来确定,它们是:(a)最小间距规则(见图4a);(b)最小空间规则(见图4b);(c)最小角对角规则(C2C)(见图4c)。参见图4a-4c,上述的规则用于限定多边形5的周围区域,并且如果任何其他多边形在这个区域内,则违反了所述临界关系,这就需要把多边形5和冲突的多边形(或其一部分)在不同的掩模上成像。值得指出的是,不同的规则也可以用来确定所述多边形的冲突区域(也就是,违反了为所述给定方法限定的临界关系规则的多边形或其一部分)。
参见图5,正如指出的那样,结合由三个规则得到的冲突区域以识别所述多边形5周围的整个冲突区域。如果另一个多边形与所述区域冲突(或进入所述区域),则这个其他多边形6就与多边形5冲突。在图5示出的例子中,第二个多边形6是在与多边形5相关的冲突区域内。在这个冲突的例子中,所述方法插入分割线到冲突多边形6中,以将多边形6引起冲突关系的部分分离出来。换一句话说,利用分割线只将冲突多边形的部分分隔开。在图5所示的例子中,垂直和水平的分割线9被插入到多边形6中,使得把与多边形5冲突的多边形6的左下部分分隔出去。此外,如果多边形与其他多边形完全冲突,就不需要再进行分割。对于每个小块12中的每个冲突多边形实施这个过程。值得注意的是,分隔冲突多边形的该过程是自动地利用目标图案数据、结合与每个特征相关的预定冲突区域实施的。
再参见图3,如指出的那样,一旦完成给定小块12的所述多边形的分割,所述方法的下一步骤就是识别和标示每个小块的临界组(步骤35)。同样,对于与给定小块12相关的每组分割后的多边形实施该步骤。更具体的说,在给定小块中的与其他多边形冲突的所述多边形被命名/识别为临界多边形。每个这样的临界多边形定义为图形中的顶点,并且把加权的冲突边缘加入到所述图形以限定这种冲突关系。所述加权由两个多边形之间的冲突类型来确定。如果两个临界多边形互相连接,以加权等于零把连接边缘加入到所述图形中。应该说明的是,在给出的实施例中所述加权越小,优先级越高。
图4中识别出对给定实施例限定的冲突类型。所述冲突是最小间距、最小空间和最小角对角C2C冲突。在给出的实施例中,“1”的加权分配给违反最小间距要求的多边形;“2”的加权分配给违反最小空间要求的多边形;“3”的加权分配给违反最小C2C要求的多边形。这样做是因为相比最小空间要求或最小间距要求,更容易解决最小C2C要求,如果不可能分裂所述多边形且因此需要改变原始图案,则利用DFM。应该说明的是,所述加权应用在临界组标示步骤。
所述冲突/连接图形的每个连接的部件或临界多边形形成给定小块的临界组。对于每个临界组,构建最小跨度树。相对于所述临界组图形和最小跨度树之间的差别,首先要说明的是,所述临界组图形比最小跨度树更加普遍。更为具体的是,所述图形的定义是一系列的顶点(节点)和一系列所述顶点之间的边缘(线)。树是在图形中没有环路的特别图形。换句话说,在一个树里面,从一个顶点到任何其它顶点最多有一条路径。在原始的临界组图形中所有的顶点都在最小跨度树里,并且在最小跨度树里的所述边缘必须在原始临界组图形里(也就是,如果在原始图形中两个顶点之间存在一条路径,则在跨度树里两个顶点之间必须存在一条路径)。还要注意的是,所述临界组图形的最小跨度树是在原始图形中所有可能的跨度树中具有最小的总的边缘加权的一个跨度树。
一旦产生了所述最小跨度树,所述树通过应用下面的算法/方法用两个颜色进行着色:选择一个顶点作为根并且用一个层对它着色(也就是,第一颜色);通过宽度优先或深度优先搜索访问所有顶点;如果所述顶点和它的父顶点之间的所述边缘是冲突边缘,则把与其父顶点颜色相反的颜色分配给所述顶点;否则,给顶点分配和其父顶点相同的颜色。在给出的实施例中,每个顶点的颜色是在所述临界组内部的相应的多边形的缺省颜色。
所述冲突/连接图形的所有的边缘用着色过的顶点检查。在以下两种情况中:(1)所述边缘是冲突边缘,但两个顶点具有相同的颜色,或(2)所述边缘是连接边缘,但两个顶点具有不同的颜色,在该临界组内这些顶点之间存在本来的冲突(native conflict)。把这种本来的冲突报告给操作者,操作者就能例如通过变更所述目标图案解决这种本来的冲突,。
在冲突/连接图形中的加权可能有利于或能够提高制造最终图案的DFM(针对制造者的图案)特性的制造设计。例如,冲突边缘的加权可以被设计用来表示通过DFM来避免冲突的难易。如上面说明的,所述最小跨度树保持具有更小加权的所述边缘。因而,对于所记载的本来冲突的所述边缘具有更大加权并且更容易纠正所用的DFM。
图6中示出了临界组实例的说明。参见图6,基于临界多边形之间的冲突关系,62个多边形分组成两个临界组和一些非临界多边形。所述第一临界组,称为C1,位于虚线60的上面和左边,称为C2的所述第二临界组位于虚线60的右边和下面。如上面所述,位于所述多边形之间的所述边缘表示所述临界关系。所有其间具有边缘的临界多边形分组到一个临界组。在图6所示的例子中,所述临界多边形只形成两个组C1和C2。
如上面指出的,一旦限定所述给定小块的所述临界组,就为所述给定小块产生所述临界组图形(步骤37)。每个临界组变成图形内的顶点。所述顶点有两个可能的着色状态,它们是保持其缺省着色结构(KEEP保持)或改变它(也就是改变到其他颜色)(FLIP改变)。顶点之间的所述边缘表示所述临界组的缺省颜色结构之间的相关性。所述边缘具有两个类型:正的和负的。每个边缘具有一个加权以表示所述相关性的强度,加权越小意味着更强的相关性。
图7示出了图6中示例性小块的示例性的临界组图形。在给定的实施例中,基于规则的方法用来评估临界组之间的所述加权。如图7所示,对于每一对属于不同临界组和通过非临界多边形连接的所述多边形,将所述多边形之间的间距作为所述两个多边形之间的相关性加权来计算。这些多边形用图7中所示的箭头连接。每个箭头的长度指定形成所给出的一对特征的特征之间的距离。在这些间距中,选择最小的一个,如图箭头72所示,作为这两个临界组C1和C2之间的相关性加权,因为它表示最短的距离。还要说明的是,当所述两个多边形具有相同缺省颜色时,所述相关性是正的。否则,所述相关性就是负的。在这个实例中,所述两个特征之间的相关性用箭头72连接。每个小块12内的所述临界组图形以这种方式构建。
如上面指出的,在临界组图形中,所述顶点是临界组,并且所述边缘(线)表示所述临界组的所述着色之间的关系(约束)。目的是分配两个颜色给每个顶点并且尽力满足所述顶点之间的重要关系(约束)。从所述临界组图形产生最小跨度树以保持更为重要的具有更小加权的边缘。然后,基于所述最小跨度树对所有顶点进行着色,同时在这个过程中满足通过在最小跨度树中的所述边缘表示的关系(约束)。
接下来,每一个所述小块的所述临界组图形被返回到所述主机以开始进行合并所述每一个小块的临界组图形(步骤34)的过程。在步骤34中,来自所述叶片机的结果被送回到主机,并且所述主机将这些结果结合在一起。在步骤34的过程中实质上实施了两个操作。第一是将在小块内的临界组图形与“边界合并循环”的结果结合起来以获得对于整个芯片的所述临界组图形。第二是小块的临界图形组的给定数据(Gds)用作步骤38中实施的“边界合并循环”的输入。
在实施步骤34后,把在相邻小块12的边界内的gds和所述临界组的标示分派到所述叶片机16(步骤36)。然后,实施所述小块边界合并过程(步骤38)。在这个步骤中,把在不同小块中的所述临界组之间的相关性加入到所述临界组图形。更具体地,值得注意的是,在小块边界的交叠区域,所述相同多边形/特征可以属于不同小块的不同临界组。这些多边形在最后的分裂结果中必须具有相同的层分配。为了获得这个目标,把具有最小加权的边缘加入到跨过小块边界的所述临界组之间。如果相同的多边形在不同的小块的两个临界组具有相同的层分配,所述相关性是正的。否则所述相关性是负的。
接下来,所述小块边界合并过程所产生的数据返回到所述主机14(步骤39),并且通过合并来自“小块内部循环”的所述小块内部的边缘和跨过来自“小块边界合并循环”的小块边界的边缘产生整个芯片的所述临界组图形。图8a是整个芯片的示例性整体视图,而图8b提供每个给定小块的示例性详细视图。值得注意的,图8b中的所述跨过小块边界的边缘连接到相邻小块的临界组。
一旦产生整体临界组图形,所述工艺进行到如图9所示的整个过程的阶段II。在阶段II,整体图形着色解决方案在主机14实施。更具体的,利用分级着色算法解决典型地具有大量的顶点和边缘的整个芯片的所述整体临界组图形。
参见图10,在整体图形着色解决方案的执行过程中,在每一个阶段,整个芯片的所述小块被分成几个组。在更低阶段的组还要结合到更高阶段。最后,整个芯片的所有小块合并到在顶部阶段的一个组。根据系统的资源限制和每个阶段处理所述组的所述算法的资源要求可以静态或动态地构建分级阶段。
在分级结构的基础上,所述算法首先通过从底部阶段到顶部阶段实施的“底部向上”的步骤,然后通过从顶部阶段到底部阶段实施的“顶部向下”的步骤进行整个图形着色。每个临界组,其在图形中是一个顶点,分配给两种可能状态(颜色):保持或改变。
在顶部向上步骤中,如图11(a)-11(c)所示,对在这个阶段的每个组实施下面的方法。首先,结合在更低阶段的多个子组的输出图形以产生输入图形(见图11(a))。在图形中标示所有具有连接到这个组外部节点的边缘的边界顶点。然后在图形中构建最小跨度林(见图11(b))。所述最小跨度林基本上类似所述最小跨度树,但是所述边界顶点必须在不同的树上并且所述边界顶点必须是所述树的根。然后,对于在所述最小跨度林的每个树,从它的根顶点开始,通过宽度优先或深度优先搜索计算每个节点与所述根节点的相关性。如果当前顶点通过正边缘连接到父顶点,则它具有和父顶点相同的与根顶点的相关性。否则,所述顶点与其父顶点相比具有与根顶点的负的相关性。所有所述边界顶点都用于构建这个组的输出图形。选择树之间的具有最小加权的所述边缘(edge)作为所述边界顶点之间的边缘。
在顶部向下步骤,对这个阶段的每个组实施下面的算法,如图12(a)和12(b)所示。首先,初始化在最小跨度林内根的状态(颜色)(见图12(a))。如果所述树的根是边界顶点,从更高阶段的着色结果中获得所述临界组的状态(颜色)。否则,随机初始化所述临界组的状态(颜色)为:保持或改变(KEEP或FLIP)。通过宽度优先或深度优先搜索对在最小跨度林中的所有顶点分配状态(颜色)(见图12(b))。如果当前顶点具有与根顶点的正相关性,则分配与根顶点一样的状态(颜色)。否则,分配与根顶点的状态(颜色)相反的状态(颜色)。
在顶部阶段,在图形中没有边界顶点,最小跨度林的所有根初始化为KEEP或者FLIP。在底部阶段,整个芯片的所有临界组已经着色为KEEP状态或FLIP状态。如果一个临界组已经分配给KEEP状态,属于这个临界组的每个临界多边形将会被在临界组内的缺省颜色着色。否则,分配给所述临界组FLIP状态,属于这个临界组的每个临界多边形将会被分配与其缺省颜色相反的颜色。
如上面详述,本发明的方法提供了许多超越已知分解方法的优点。最为重要的是,所述方法提供了快速而有效率的分解全芯片图案或图样的方法。尤其是,本发明所述方法允许并行地处理(也就是分解)所述图案的小块,因而减少了完成分解过程所需的时间。所述方法还通过应用“临界组图形”提供灵活的能容易地结合在前的小块级分解或着色的方案。此外,所述方法还通过应用“临界组”减少用以在整个芯片层次进行处理所需的数据量,因而显著地减少了数据处理能力需求和完成分解过程所需的时间。最后,本发明的“整体图形着色解决方案”的分级结构提供用于处理大的整个芯片图案的良好的可量测性。
图13示出能实现以上详述的所述图案分解方法的计算机系统100的方框图。计算机系统100包括总线102或其他用于传递信息的通信机构,和连接到总线102上用于处理信息的处理器104。计算机系统100还包括主存储器106,例如随机存取存储器(RAM)或其他动态存储设备,连接到总线102用于存储处理器104将要实施的信息和指令。在执行处理器104将要执行的指令过程中,主存储器106还用于存储临时变量或其他中间信息。计算机系统100还包括连接到总线102用于存储处理器104的静态信息和指令的只读存储器(ROM)或其他静态存储设备。提供存储装置110,例如磁盘或光盘,并连接到总线102,用于存储信息和指令。
计算机系统100可以通过总线102连接到显示器112,例如用于显示信息给计算机使用者的阴极射线管(CRT)显示器或平板显示器或触摸平板显示器。输入装置114,包括文字数字的或其他的键连接到总线102,用于将信息和命令选择传递到处理器104。其他类型的使用者输入装置是光标控制器116,例如鼠标,轨迹球,或者光标方向键,用于把方向信息和命令选择传递到处理器104以及用于控制光标在显示器112上的移动。这种输入装置典型地在两个坐标轴上具有两个自由度,第一坐标轴(例如,X)和第二座标轴(例如,Y),这允许所述装置在平面内指定位置。接触平板(显示屏)显示器也可以用作输入装置。
根据本发明的一个实施例,着色过程可以由计算机系统100实施,所述计算机系统100响应于执行包括在主存储器106内的一个或更多指令的一个或更多序列的处理器104。这些指令可以从另一计算机可读介质读入到主存储器106,例如存储装置110。包括在主存储器106内的指令序列的执行过程使处理器104实施这里所述的处理步骤。在多过程设置中可以应用一个或更多处理器执行包括在主存储器106内的指令序列。在可选择的实施例中,可以用硬件电路代替软件指令或与软件指令结合以实现本发明。因此,本发明实施例不限于任何特定的硬件电路和软件的结合。
这里用到的术语“计算机可读介质”指的是参与提供指令给处理器104以执行的任何介质。这样的介质可以是多种形式,包括但不限于非挥发性介质、挥发性介质以及传输介质。非挥发性介质例如包括光盘或磁盘,例如存储装置110。挥发性介质包括动态存储器,例如主存储器106。传输介质包括同轴电缆、铜线和光纤,其中包括组成总线102的电缆。传输介质还可以是声波或光波的形式,例如射频(RF)和红外(IR)数据通信过程中产生的那些。计算机可读介质的一般形式包括,例如,软磁盘、软盘、硬盘、磁带或其他磁性介质、光盘只读存储器、DVD、任何其他光学介质、穿孔卡(片)、纸带、任何其他带有孔图案的物理介质、随机存储器、可编程序只读存储器和电可擦编程只读存储器(EPROM)、快(可)擦编程只读存储器(FLASH-EPROM)、任何其他存储器芯片或磁带盒、这里后面所述的载波、或其他计算机可读介质。
在将一个或多个指令的一个或多个序列输入到处理器104以执行的过程中会用到多种形式的计算机可读介质。例如,所述指令可以在远程计算机的磁盘上首先生成。远程计算机能够将所述指令加载到其动态存储器并且用调制解调器通过电话线发送指令。计算机系统100本地的调制解调器能够接收到电话线上的数据并且应用红外发射装置将数据转换成红外信号。连接到总线102的红外探测器能够接收加载在红外信号中的数据并将所述数据加载到总线102。总线102将所述数据输送到主存储器106,处理器104从主存储器106上重新得到并执行所述指令。可以任意地在处理器104执行操作之前或之后把通过主存储器106接收的所述指令存储在存储装置110中。
优选地,计算机系统100还包括连接到总线102的通信界面118。通信界面118提供连接到网络链路120的双路数据通信,其中网络链路120连接至局域网络122。例如,通信界面118可以是综合业务数字网(ISDN)卡或调制解调器用以提供数据通信连接到相应类型的电话线。正如其他例子,通信界面118可以是用以提供数据通信连接到兼容LAN的局域网络(LAN)卡。无线通信线路也可以实现。在所有这些实施过程中,通信界面118发送和接收承载表示多种类型信息的数字数据流的电信号、电磁信号或光学信号。
典型地,网络链路120通过一个或多个网络来提供数据通信到其他数据装置。例如,网络链路120可以通过本地网络122提供连接到主计算机124或者由互联网服务商(ISP)126运行的数据设备。ISP126通过全世界的分组数据通信网络提供数据通信服务,现在一般称为“国际互联网”128。局域网络122和国际互联网128都应用载有数字数据流的电信号、电磁信号或光学信号。通过多种网络的信号以及在网络链路120上并通过通信界面118的信号是传输所述信息的载波的示例性形式,其中这些信号承载传送到和发自于计算机100的数字数据。
计算机系统100能够通过网络、网络链路120和通信界面118发送信息和接收数据,包括程序代码。在国际互联网例子中,服务器130可能通过国际互联网128、ISP126、局域网122和通信界面118发送应用程序的请求代码。根据本发明,提供一个这样的下载应用用于说明实施例的最优化。当接收的代码被接收到,可以由处理器104执行所接收到的代码,和/或存储在存储装置110或其它非挥发性存储器中用于下一次执行。在这种方式中,计算机系统100可以获得载波形式的应用代码。
图14示意地示出了适于应用根据本发明设计的掩模的光刻投影设备。所述设备包括:
●辐射系统Ex,IL,用于提供辐射投影束PB。在具体情况下,辐射系统还包括辐射源LA;
●第一物体台(掩模台)MT,设置有用于保持掩模MA(例如,掩模版)的掩模保持器,并且连接到用于准确地相对于投影系统PL定位的第一定位装置;
●第二物体台(衬底台)WT,设置有用于保持衬底W(例如,涂覆有抗蚀剂的硅晶片)的衬底保持器,并且连接到用于准确地相对于投影系统PL定位的第二定位装置;
●投影系统(“透镜”)PL(例如,折射型、反射型或反射折射型光学系统),用于将掩模MA的照射部分成像到所述衬底W的目标部分C(例如,包括一个或更多管芯)上。
正如这里所述,所述设备是透射型的(也就是具有透射型的掩模)。然而,一般情况下,所述设备也可以是反射型的(例如具有反射型的掩模)。可选择的是,所述设备可以应用其他类型的图案化装置作为掩模的可选方案;实例包括:可程序化的反射镜阵列或LCD矩阵。
源LA(例如,汞灯或准分子激光器)产生辐射束。所述束直接地或通过调节装置(例如束扩展器Ex)引入到照射系统(照射器)IL。照射器IL包括用于设置所述束内的强度分布的所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)的调整装置AM。此外,一般还包括多种其他部件,例如积分器IN和聚光器CO。这样,照射到所述掩模MA的所述束PB在其横截面中具有所需的均匀性和强度分布。
值得注意的是,相对于图14,所述源LA可以在光刻投影设备的壳体内(例如,当所述源LA是汞灯时经常是这种情形),但是所述源也可以远离所述光刻投影设备,所述源产生的辐射束被引导到所述设备中(例如通过合适的导向反射镜);当所述源LA是准分子激光器时通常是后一种情况(例如,基于KrF、ArF或F2激光器)。本发明包括这两种情况。
所述束PB随后入射到保持在掩模台MT上的所述掩模MA上。在已经穿过所述掩模MA后,所述束PB通过所述透镜PL,所述PL将所述束PB聚焦到所述衬底W的目标部分C上。通过第二定位装置PW(和干涉测量装置IF)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述束PB的路径中。类似地,例如在从掩模库的机械获取之后,或在扫描期间,可以将所述第一定位装置用于将所述掩模MA相对于所述束PB的路径精确地定位。通常,可以通过长行程模块(粗定位)和短行程模块(精定位)(图14中未明确示出)的帮助来实现所述物体台MT、WT的移动。但是,在晶片步进机的情况下(与步进-扫描工具相反),所述掩模台MT可以仅与短行程致动器相连,或可以是固定的。
可以将所述设备用于以下模式的至少一种:
●在步进模式中,将所述掩模台MT,将整个掩模图案一次投影到目标部分C上(即,单一的“曝光”)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以通过所述束PB对不同目标部分C曝光。
●在扫描模式中,用本质上相同的方式,除了给定的目标部分C不是以单一“曝光”方式曝光。代替的是,所述掩模台MT是可以以速度v在给定方向上移动的(所谓的“扫描方向”,例如Y方向),以使所述投影束PB在掩模图案上扫描;同时,所述衬底台WT同时地以速度V=Mv在相同或相反方向上移动,其中M是所述透镜PL的放大率(典型地,M=1/4或1/5)。在这种方式中,能够对相对大的目标部分C进行曝光,而不需要牺牲分辨率。
虽然已经详细的描述并说明了本发明,本领域的技术人员应当明白地理解,但仅通过说明和实例的方法和不限于此的方式是一样的,本发明的范围仅通过所附的权利要求限定。

Claims (16)

1.一种将目标图案分解成多个图案的方法,其中所述目标图案包含将被印制到晶片上的特征,所述方法包括步骤:
将所述目标图案分割成多个小块;
对于所述多个小块中的每一个,识别每个小块内违反最小间隔要求的临界特征;
为具有临界特征的所述多个小块中的每一个生成临界组图形,给定小块的所述临界组图形限定所述给定小块内的所述临界特征的着色方案,所述临界组图形还识别延伸到与所述给定小块相邻的邻接小块的临界特征;
对于所述目标图案生成整体临界组图形,所述整体临界组图形包括所述多个小块中的每一个小块的所述临界组图形和延伸到邻接小块的所述特征的识别;和
基于所述整体临界组图形限定的所述着色方案着色所述目标图案。
2.如权利要求1所述的分解目标图案的方法,其中所述多个小块中的每一个小块具有相同的尺寸。
3.如权利要求1所述的分解目标图案的方法,其中所述临界特征是不符合最小间距规则、最小空间规则和最小角对角规则中的至少一个规则的任何特征。
4.如权利要求1所述的分解目标图案的方法,其中所述临界组图形限定对应所述多个小块中的每一个小块的一个或多个临界组,每一个所述临界组包括至少一个临界特征。
5.如权利要求1所述的分解目标图案的方法,其中所述临界组图形识别分配到冲突临界组的加权,所述加权限定与所述临界组之间的所述给定冲突相关的优先级。
6.一种计算机可读存储介质,所述计算机可读存储介质存储用于将目标图案分解成多个图案的计算机程序,其中所述目标图案包括将要印制到晶片上的特征,当执行所述计算机程序时,使计算机执行以下步骤:
将所述目标图案分割成多个小块;
对于所述多个小块中的每一个,识别每个小块内违反最小间隔要求的临界特征;
为具有临界特征的所述多个小块中的每一个小块生成临界组图形,给定小块的所述临界组图形限定所述给定小块内的所述临界特征的着色方案,所述临界组图形还识别延伸到与所述给定小块相邻的邻接小块的临界特征;
对于所述目标图案生成整体临界组图形,所述整体临界组图形包括所述多个小块中的每一个小块的所述临界组图形和延伸到邻接小块的所述特征的识别;和
基于所述整体临界组图形限定的所述着色方案着色所述目标图案。
7.如权利要求6所述的计算机可读存储介质,其中所述多个小块中的每一个小块具有相同的尺寸。
8.如权利要求6所述的计算机可读存储介质,其中所述临界特征是不符合最小间距规则、最小空间规则和最小角对角规则中的至少一个规则的任何特征。
9.如权利要求6所述的计算机可读存储介质,其中所述临界组图形限定对应所述多个小块中的每一个小块的一个或多个临界组,每一个所述临界组包括至少一个临界特征。
10.如权利要求6所述的计算机可读存储介质,其中所述临界组图形识别分配到冲突临界组的加权,所述加权限定与所述临界组之间的所述给定冲突相关的优先级。
11.一种器件制造方法,包括步骤:
(a)提供至少部分被辐射敏感材料层覆盖的衬底;
(b)使用成像系统提供辐射投影束;
(c)利用掩模上的图案,使得在投影束的横截面上赋予投影束图案;
(d)将所述图案化的辐射束投影到辐射敏感材料层构成的目标部分上;
其中,在步骤(c)中,提供图案到掩模上的步骤包括以下步骤:
把目标图案分割成多个小块;
对于所述多个小块中的每一个,识别每个小块内违反最小间隔要求的临界特征;
为具有临界特征的所述多个小块中的每一个小块生成临界组图形,给定小块的所述临界组图形限定所述给定小块内的所述临界特征的着色方案,所述临界组图形还识别延伸到与所述给定小块相邻的邻接小块的临界特征;
对于所述目标图案生成整体临界组图形,所述整体临界组图形包括所述多个小块中的每一个小块的所述临界组图形和延伸到邻接小块的所述特征的识别;和
基于所述整体临界组图形限定的所述着色方案着色所述目标图案。
12.一种用于形成掩模的方法,所述掩模用在光刻过程中用于对包括将被印制到晶片上的特征的目标图案成像,所述方法包括步骤:
将所述目标图案分割成多个小块;
对于所述多个小块中的每一个,识别每个小块内违反最小间隔要求的临界特征;
为具有临界特征的所述多个小块中的每一个小块生成临界组图形,给定小块的所述临界组图形限定所述给定小块内的所述临界特征的着色方案,所述临界组图形还识别延伸到与所述给定小块相邻的邻接小块的临界特征;
对于所述目标图案生成整体临界组图形,所述整体临界组图形包括所述多个小块中的每一个小块的所述临界组图形和延伸到邻接小块的所述特征的识别;和
基于所述整体临界组图形限定的所述着色方案着色所述目标图案。
13.如权利要求12所述的形成掩模的方法,其中所述多个小块中的每一个小块具有相同的尺寸。
14.如权利要求12所述的形成掩模的方法,其中所述临界特征是不符合最小间距规则、最小空间规则和最小角对角规则中的至少一个规则的任何特征。
15.如权利要求12所述的形成掩模的方法,其中所述临界组图形限定对应所述多个小块中的每一个小块的一个或多个临界组,每一个所述临界组包括至少一个临界特征。
16.如权利要求12所述的形成掩模的方法,其中所述临界组图形识别分配到冲突临界组的加权,所述加权限定与所述临界组之间的所述给定冲突相关的优先级。
CN200810173349XA 2007-11-13 2008-11-13 用于对全芯片图案实施图案分解的方法 Expired - Fee Related CN101470344B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99634807P 2007-11-13 2007-11-13
US60/996,348 2007-11-13

Publications (2)

Publication Number Publication Date
CN101470344A true CN101470344A (zh) 2009-07-01
CN101470344B CN101470344B (zh) 2011-06-22

Family

ID=40624942

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810173349XA Expired - Fee Related CN101470344B (zh) 2007-11-13 2008-11-13 用于对全芯片图案实施图案分解的方法

Country Status (3)

Country Link
US (1) US8572521B2 (zh)
JP (1) JP4779003B2 (zh)
CN (1) CN101470344B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105051737A (zh) * 2013-02-22 2015-11-11 新思科技有限公司 用于三重曝光的混合演进算法
TWI684110B (zh) * 2015-03-13 2020-02-01 南韓商三星電子股份有限公司 提供積體電路佈局資料之方法、系統與電腦程式產品
CN113227899A (zh) * 2018-12-28 2021-08-06 Asml荷兰有限公司 用于在片段边界处产生图案形成装置图案的方法
TWI825961B (zh) * 2022-03-16 2023-12-11 大陸商東方晶源微電子科技(北京)有限公司 一種解決掩模版著色邊界衝突的方法、裝置和電腦設備

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7793238B1 (en) * 2008-03-24 2010-09-07 Xilinx, Inc. Method and apparatus for improving a circuit layout using a hierarchical layout description
TW201102848A (en) * 2009-07-02 2011-01-16 Univ Nat Taiwan Method for concurrent migration and decomposition of integrated circuit layout
US8245174B2 (en) * 2009-07-23 2012-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Double patterning friendly lithography method and system
US8347240B2 (en) 2010-10-29 2013-01-01 International Business Machines Corporation Split-layer design for double patterning lithography
US8429574B2 (en) * 2011-04-14 2013-04-23 Cadence Design Systems, Inc. Dual-pattern coloring technique for mask design
US20130145340A1 (en) * 2011-06-28 2013-06-06 Qiao Li Determination Of Uniform Colorability Of Layout Data For A Double Patterning Manufacturing Process
US8359556B1 (en) 2011-06-29 2013-01-22 International Business Machines Corporation Resolving double patterning conflicts
US8516403B2 (en) * 2011-09-01 2013-08-20 International Business Machines Corporation Multiple patterning layout decomposition for ease of conflict removal
US8434033B2 (en) 2011-09-01 2013-04-30 International Business Machines Corporation Mask assignment for multiple patterning lithography
US8473873B2 (en) 2011-09-02 2013-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method
US8468470B2 (en) 2011-09-21 2013-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method
US8601408B2 (en) * 2011-10-10 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for replacing a pattern in a layout
US8448100B1 (en) * 2012-04-11 2013-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Tool and method for eliminating multi-patterning conflicts
US8601409B1 (en) 2012-07-12 2013-12-03 Taiwan Semiconductor Manufacturing Co, Ltd. Compression method and system for use with multi-patterning
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US8689151B1 (en) 2012-09-12 2014-04-01 International Business Machines Corporation Pitch-aware multi-patterning lithography
JP6141044B2 (ja) * 2013-02-22 2017-06-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
JP6598421B2 (ja) * 2013-02-22 2019-10-30 キヤノン株式会社 マスクパターンの決定方法、プログラム、情報処理装置
WO2015023856A1 (en) * 2013-08-15 2015-02-19 Synopsys, Inc. Detecting and displaying multi-patterning fix guidance
US10013520B2 (en) * 2013-10-03 2018-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of determining if layout design is N-colorable
KR102219460B1 (ko) 2014-09-04 2021-02-24 삼성전자주식회사 반도체 장치의 레이아웃 분리 방법 및 이를 사용한 반도체 장치 제조 방법
US9934347B2 (en) 2014-10-01 2018-04-03 Samsung Electronics Co., Ltd. Integrated circuit and method of designing layout of integrated circuit
KR102230503B1 (ko) 2015-04-14 2021-03-22 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 마스크 패턴 제조 시스템 및 방법
KR102338365B1 (ko) 2015-04-24 2021-12-09 삼성전자주식회사 레이아웃 분리 방법 및 레이아웃 분리 시스템
US10175571B2 (en) * 2015-06-19 2019-01-08 Qualcomm Incorporated Hybrid coloring methodology for multi-pattern technology
US9679100B2 (en) * 2015-08-21 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Environmental-surrounding-aware OPC
US9842185B2 (en) * 2015-08-21 2017-12-12 Qualcomm Incorporated Systems and methods for group constraints in an integrated circuit layout
US10192021B1 (en) * 2017-02-21 2019-01-29 Cadence Design Systems, Inc. Generating and inserting metal and metal etch shapes in a layout to correct design rule errors
US11720015B2 (en) * 2020-06-29 2023-08-08 Synopsys, Inc. Mask synthesis using design guided offsets

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05341498A (ja) * 1992-04-10 1993-12-24 Toshiba Corp フォトマスク設計装置および設計方法
JP3378302B2 (ja) * 1993-06-29 2003-02-17 株式会社東芝 フォトマスク設計方法及び設計装置
KR0172558B1 (ko) * 1995-03-22 1999-03-20 김주용 노광 마스크의 제조방법
JP3537953B2 (ja) * 1995-03-27 2004-06-14 株式会社東芝 半導体製造用フォトマスク設計方法及びシステム
JP3344242B2 (ja) * 1996-01-11 2002-11-11 富士通株式会社 ホトマスクに対する位相シフタ配置方法及び記憶媒体
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
US5883813A (en) * 1997-03-04 1999-03-16 International Business Machines Corporation Automatic generation of phase shift masks using net coloring
JPH11327120A (ja) * 1998-05-19 1999-11-26 Toppan Printing Co Ltd 光強度シミュレーション装置および光強度シミュレーション方法並びに光強度シミュレーションプログラムを記録した記録媒体
JP2000066365A (ja) * 1998-08-18 2000-03-03 Toppan Printing Co Ltd フォトマスクパターン設計支援装置、フォトマスクパターン設計支援方法、および、フォトマスクパターン設計支援プログラムを記録した記録媒体
US6978436B2 (en) * 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
JP2002353102A (ja) * 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
CN1195316C (zh) * 2002-03-26 2005-03-30 华邦电子股份有限公司 改进临界尺寸一致性的方法
US6993741B2 (en) * 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
US7378195B2 (en) * 2004-06-28 2008-05-27 International Business Machines Corporation System for coloring a partially colored design in an alternating phase shift mask
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
JP4945367B2 (ja) * 2006-08-14 2012-06-06 エーエスエムエル マスクツールズ ビー.ブイ. 回路パターンを複数の回路パターンに分離する装置および方法
JP4922112B2 (ja) * 2006-09-13 2012-04-25 エーエスエムエル マスクツールズ ビー.ブイ. パターン分解フィーチャのためのモデルベースopcを行うための方法および装置
JP4700664B2 (ja) * 2006-09-13 2011-06-15 エーエスエムエル マスクツールズ ビー.ブイ. アンカーリングフィーチャを利用したパターンピッチ分割分解を行うための方法
CN101271483B (zh) * 2006-09-13 2012-02-22 Asml蒙片工具有限公司 分解图案的方法、器件制造方法及产生掩模的方法
JP5032948B2 (ja) * 2006-11-14 2012-09-26 エーエスエムエル マスクツールズ ビー.ブイ. Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US8713483B2 (en) * 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105051737A (zh) * 2013-02-22 2015-11-11 新思科技有限公司 用于三重曝光的混合演进算法
CN105051737B (zh) * 2013-02-22 2019-06-14 新思科技有限公司 用于验证设计的计算机实现方法及系统
TWI684110B (zh) * 2015-03-13 2020-02-01 南韓商三星電子股份有限公司 提供積體電路佈局資料之方法、系統與電腦程式產品
CN113227899A (zh) * 2018-12-28 2021-08-06 Asml荷兰有限公司 用于在片段边界处产生图案形成装置图案的方法
TWI825961B (zh) * 2022-03-16 2023-12-11 大陸商東方晶源微電子科技(北京)有限公司 一種解決掩模版著色邊界衝突的方法、裝置和電腦設備

Also Published As

Publication number Publication date
US20090125866A1 (en) 2009-05-14
US8572521B2 (en) 2013-10-29
CN101470344B (zh) 2011-06-22
JP2009139938A (ja) 2009-06-25
JP4779003B2 (ja) 2011-09-21

Similar Documents

Publication Publication Date Title
CN101470344B (zh) 用于对全芯片图案实施图案分解的方法
US10866524B2 (en) Method and system for overlay control
CN102053504B (zh) 基于衍射标记分析的对设计布局中的优化图案的选择
JP4717153B2 (ja) 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法
KR101484146B1 (ko) 다중 패터닝 공정과 리소그래피 장치 및 마스크 최적화 공정의 통합
US6668367B2 (en) Selective promotion for resolution enhancement techniques
US7512928B2 (en) Sub-resolution assist feature to improve symmetry for contact hole lithography
CN101241300B (zh) 分解图案的方法、计算机可读介质、器件制造方法和掩模
US20020122994A1 (en) Design and layout of phase shifting photolithographic masks
US20080127029A1 (en) Closed-loop design for manufacturability process
CN107438842A (zh) 通过机器学习的特征搜索
US20120047479A1 (en) Incremental Layout Analysis
US8340394B2 (en) Method, program product and apparatus for performing a model based coloring process for geometry decomposition for use in a multiple exposure process
US7571418B2 (en) Simulation site placement for lithographic process models
US20070061771A1 (en) Method for reticle shapes analysis and correction
CN100576083C (zh) 用于多次曝光过程的基于模型的几何分解方法及相应产品
CN110088689A (zh) 用于图案保真度控制的方法与设备
Chiou et al. Impact of EUV patterning scenario on different design styles and their ground rules for 7nm/5nm node BEOL layers
CN101122736A (zh) 一种改进的cpl掩模及产生cpl掩模的方法和程序产品
JP2003188089A (ja) 露光条件算出方法、露光条件算出プログラム、記録媒体、レチクルの製造方法、構造体の製造方法、装置及び露光条件算出サービス提供方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ASML NETHERLANDS CO., LTD.

Free format text: FORMER OWNER: RUICHU TECHNOLOGY COMPANY

Effective date: 20100519

Free format text: FORMER OWNER: ASML NETHERLANDS CO., LTD.

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA, U.S.A. TO: WEIDEHUOWEN, HOLLAND

TA01 Transfer of patent application right

Effective date of registration: 20100519

Address after: Holland Weide Eindhoven

Applicant after: ASML Holland Co., Ltd.

Address before: American California

Applicant before: Brion Technologies Inc.

Co-applicant before: ASML Holland Co., Ltd.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110622

Termination date: 20191113