CN101314852B - 蚀刻溶液、基板的表面处理方法及形成浅沟槽隔离的方法 - Google Patents

蚀刻溶液、基板的表面处理方法及形成浅沟槽隔离的方法 Download PDF

Info

Publication number
CN101314852B
CN101314852B CN2008100912007A CN200810091200A CN101314852B CN 101314852 B CN101314852 B CN 101314852B CN 2008100912007 A CN2008100912007 A CN 2008100912007A CN 200810091200 A CN200810091200 A CN 200810091200A CN 101314852 B CN101314852 B CN 101314852B
Authority
CN
China
Prior art keywords
semiconductor substrate
oxidant
removal agent
oxide removal
volume ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008100912007A
Other languages
English (en)
Other versions
CN101314852A (zh
Inventor
吴家伟
谢荣裕
杨令武
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Publication of CN101314852A publication Critical patent/CN101314852A/zh
Application granted granted Critical
Publication of CN101314852B publication Critical patent/CN101314852B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Element Separation (AREA)

Abstract

本发明公开了一种蚀刻溶液、基板的表面处理方法以及形成浅沟槽隔离的方法。此蚀刻溶液是用以进行半导体基板的表面处理,此蚀刻溶液包括氧化剂以及氧化物移除剂。氧化剂是用以氧化半导体基板为半导体氧化物。氧化物移除剂用以移除上述的半导体氧化物。

Description

蚀刻溶液、基板的表面处理方法及形成浅沟槽隔离的方法
技术领域
本发明涉及一种蚀刻溶液、半导体基板的表面处理方法以及形成浅沟槽隔离的方法,且特别涉及一种应用于硅材料基板的蚀刻溶液、半导体基板的表面处理方法以及形成浅沟槽隔离的方法。
背景技术
随着集成电路中集成度的增加,在半导体元件之间防止漏电或短路的隔离工艺相对地扮演愈来愈重要的角色。传统上一般采用硅区域氧化法(LocalOxidation of Silicon,LOCOS)作为半导体元件之间的隔离技术,主要的步骤包括垫氧化层及垫氮化层的形成、垫氧化层及垫氮化层的蚀刻,以及以热氧化法生长二氧化硅材料的场氧化层。然而,在进行场氧化层的生长时,位于垫氧化层及垫氮化层边缘的硅,亦受到热氧化环境中的氧分子及水气等影响,生成二氧化硅,并且推挤垫氧化层及垫氮化层的边缘,使其向上翘起而成鸟嘴(Bird’s Beak)。此处所形成的鸟嘴减少了有源区域的长度,随着半导体工艺的向下推进,鸟嘴的长度更加显著地影响有源区域的长度,进一步影响了半导体元件的后续工艺。
因此,近来发展出一种浅沟槽隔离(Shallow Trench Isolation,STI)技术,通过在硅芯片上先蚀刻出沟槽后再填入二氧化硅等材料的方式,形成半导体元件之间的隔离区域。浅沟槽隔离技术主要利用垫氧化层及垫氮化层作为硅芯片沟槽蚀刻时的掩模层,并且于完成填沟及平坦化的步骤后,清除此掩模层。然而,利用湿法蚀刻的方式移除上述掩模层时,蚀刻剂亦会侵蚀硅芯片的硅材料或多晶硅材料,造成硅芯片表面性质的破坏,并且于移除掩模层之后,残留氮化物于硅芯片上,造成硅芯片表面损坏以及成品率的下降。
为了避免上述所谓库依效应(kooi effect)及其伴随的白色带状区间(white ribbon)现象,业界发展出一种利用牺牲氧化层(Sacrificial OxideLayer)来接决硅芯片表面受损的方法。主要是于硅芯片的表面氧化出一层牺牲氧化层后,再将此牺牲氧化层移去。然而此种利用牺牲氧化层改善硅芯片表面品质的方式,具有增加工艺步骤以及拉长工艺时间等不利的条件。此外,为了避免湿法蚀刻垫氮化层所造成的问题,业界更发展出一种不需使用垫氮化层的浅沟槽隔离技术。然而此种不使用垫氮化层的方式,大大增加了工艺的步骤以及复杂度,并且增加了生产成本。
因此,如何能够在不增加工艺复杂度,并且符合成本考量的条件下,解决上述硅芯片表面品质劣化的问题,实为目前亟待解决的问题之一。
发明内容
本发明涉及一种蚀刻溶液、半导体基板的表面处理方法以及形成浅沟槽隔离的方法,其利用氧化物移除剂以及氧化剂来备制蚀刻溶液,并且使半导体基板的表面直接接触蚀刻溶液以进行表面处理。其具有提高半导体基板的表面品质、减缓库依效应、处理步骤简单以及节省成本等优点。
根据本发明的一方面,提出一种蚀刻溶液,用以进行半导体基板的表面处理。此蚀刻溶液包括氧化剂、氧化物移除剂以及去离子水。氧化剂是用来将半导体基板氧化为半导体氧化物,而氧化物移除剂则用来移除上述的半导体氧化物。该氧化剂包括双氧水,该氧化物移除剂包括氢氧化铵,该氧化物移除剂所占的体积比例大于该氧化剂所占的体积比例,该氧化物移除剂、该氧化剂及该去离子水的体积比例大约为2~4∶1∶80~200。或者,该氧化剂包括双氧水,该氧化物移除剂包括氟化氢,该氧化物移除剂、该氧化剂及去离子水的体积比例大约为1∶2∶500。
根据本发明的另一方面,提出一种半导体基板的表面处理方法。首先,提供半导体基板。接着,使上述半导体基板接触蚀刻溶液。此蚀刻溶液包括氧化剂、氧化物移除剂及去离子水。该氧化剂用以将该半导体基板氧化为半导体氧化物,以及该氧化物移除剂用以移除该半导体氧化物。该氧化剂包括双氧水,该氧化物移除剂包括氢氧化铵,该氧化物移除剂所占的体积比例大于该氧化剂所占的体积比例,该氧化物移除剂、该氧化剂及该去离子水的体积比例大约为2~4∶1∶80~200。或者,该氧化剂包括双氧水,该氧化物移除剂包括氟化氢,该氧化物移除剂、该氧化剂及该去离子水的体积比例大约为1∶2∶500。
根据本发明的再一方面,提出一种形成浅沟槽隔离的方法。首先,提供半导体基板,此半导体基板具有沟槽。接着,形成衬垫层于沟槽的表面。再来,充填介电材料于沟槽中。然后,使半导体基板接触蚀刻溶液,以进行半导体基板的表面处理。此蚀刻溶液包括氧化剂、氧化物移除剂及去离子水。该氧化剂用以将该半导体基板氧化为半导体氧化物,以及该氧化物移除剂用以移除该半导体氧化物。该氧化剂包括双氧水,该氧化物移除剂包括氢氧化铵,该氧化物移除剂所占的体积比例大于该氧化剂所占的体积比例,该氧化物移除剂、该氧化剂及该去离子水的体积比例大约为2~4∶1∶80~200。或者,该氧化剂包括双氧水,该氧化物移除剂包括氟化氢,该氧化物移除剂、该氧化剂及去离子水的体积比例大约为1∶2∶500。
为让本发明的上述内容能更明显易懂,下文特举较佳的实施例,并配合附图,作详细说明如下。
附图说明
图1绘示依照本发明优选实施例的形成浅沟槽隔离的方法流程图;
图2A绘示依照本发明优选实施例的基板、缓冲层及掩模层的示意图;
图2B绘示沟槽形成于图2A的基板的示意图;
图2C绘示衬垫层形成于图2B 的沟槽表面的示意图;
图2D绘示介电材料沉积于图2C的沟槽及掩模层上的示意图;
图2E绘示图2D的介电材料及掩模层平坦化后的示意图;
图2F绘示图2E的掩模层及缓冲层移除后的示意图;
图2G绘示图2F的基板经过表面处理后的示意图;
图3A绘示应用本发明优选实施例的形成浅沟槽隔离的方法前后PMOS阈值电压值的分布图;以及
图3B绘示应用本发明优选实施例的形成浅沟槽隔离的方法前后NMOS阈值电压值的分布图。
附图标记说明
10:半导体基板            10a:半导体基板的表面
11:沟槽                  12:衬垫层
20:缓冲层                30:掩模层
40:介电材料              50:氮化物
A1:第一电压范围          A2:第二电压范围
A3:第三电压范围          A4:第四电压范围
D:厚度                   P1、P2、P3、P4:平均值
具体实施方式
以下提出一实施例作为本发明的详细说明。然而,本发明的技术不限制于此,且此实施例并不会限缩本发明欲保护的范围。再者,实施例中的图示亦省略不必要的元件,以清楚显示本发明的技术特点。
请同时参照图1,其绘示依照本发明优选实施例的形成浅沟槽隔离的方法流程图。本实施例的形成浅沟槽隔离的方法主要包括以下步骤。首先,提供具有沟槽(trench)的半导体基板。接着,形成衬垫层(liner layer)于沟槽的表面。其次,充填介电材料于沟槽中。然后,使半导体基板接触蚀刻溶液,以进行半导体基板的表面处理,以改善半导体基板的表面品质,并且避免库依效应发生。
以下以在硅基板上形成浅沟槽隔离为例做说明。然而,任何于本发明所属技术领域的技术人员可了解,依照本发明优选实施例的形成浅沟槽隔离的方法亦可应用于其他的半导体装置中,用以改善表面品质,进一步提升了半导体装置的效能。
请同时参照图2A~2G,图2A绘示依照本发明优选实施例的基板、缓冲层及掩模层的示意图;图2B绘示沟槽形成于图2A的基板的示意图;图2C绘示衬垫层形成于图2B的沟槽表面的示意图;图2D绘示介电材料沉积于图2C的沟槽及掩模层上的示意图;图2E绘示图2D的介电材料及掩模层平坦化后的示意图;图2F绘示图2E的掩模层及缓冲层移除后的示意图;图2G绘示图2F的基板经过表面处理后的示意图。
首先如图1的步骤101所示,提供具有沟槽的半导体基板。如图2A所示,本实施例中半导体基板10的表面10a依序设置有缓冲层(buffer layer)20及掩模层(mask layer)30,且缓冲层20及掩模层30具有相同的图案(pattern)。掩模层30例如是氮化物层,缓冲层20例如是氧化物层,此缓冲层20是用来缓冲掩模层30附着于半导体基板10上的应力。其次,蚀刻半导体基板10无对应图案处,以形成沟槽11,如图2B所示。
接着,如步骤102及图2C所示,形成衬垫层(liner layer)12于沟槽11的表面。本实施例中,此衬垫层12利用高温氧化法形成,于大约900℃至1000℃的高温环境下,对于沟槽11的表面进行氧化。
本实施例的形成浅沟槽隔离的方法,接下来进行步骤103,充填介电材料于沟槽11中。首先进行介电材料40的沉积,介电材料40完全充满沟槽11。于本实施例中,介电材料40例如是二氧化硅(SiO2),且较佳地是利用化学气相沉积(Chemical Vapor Deposition,CVD)的方式沉积于沟槽11及掩模层30上,如图2D所示。另外,于沉积介电材料40后,更可进行高温退火的步骤,提高介电材料40的介电性质。接着,通过化学机械抛光(Chemical Mechanical Polishing)的方式,并且以掩模层30为研磨终点,平坦化(planarizing)介电材料40,如图2E所示。
再来,本实施例的方法较佳地进行移除缓冲层20及掩模层30的步骤,用以暴露半导体基板10。本实施例中掩模层30的材料为氮化物(例如氮化硅),且较佳地利用湿法蚀刻(wet etching)的方式来移除缓冲层20及掩模层30。移除缓冲层20及掩模层30后,在邻近半导体基板10表面的部分残留有氮化物50。
接着,进行步骤104,使半导体基板10接触蚀刻溶液,以进行半导体基板10的表面处理。此蚀刻溶液移去半导体基板10的表面达厚度D,如图2G所示,此厚度D大约为15~50埃(angstrom)。半导体基板10经过蚀刻后,可维持其表面的品质。
上述的蚀刻溶液包括氧化剂及氧化物移除剂,氧化剂是用来将半导体基板10氧化为半导体氧化物,而氧化物移除剂是用来移除此半导体氧化物,由此直接将半导体基板10的表面蚀刻上述的厚度D。于本实施例中,氧化剂例如是双氧水(H2O2),氧化物移除剂例如是氢氧化铵(NH4OH),且蚀刻溶液还包括去离子水(de-ionized water)。这些成分中,氧化物移除剂所占的体积比例大于氧化剂所占的体积比例。氧化物移除剂、氧化剂及去离子水,其体积比例的范围大约为2~4∶1∶80~200。较佳地是,氧化物移除剂、氧化剂及去离子水的体积比例大约为4∶1∶110,并且于25℃的温度条件下,使蚀刻溶液接触半导体基板10约6分钟的时间,以将半导体基板10的表面移去厚度D。此外,除上述组成方式外,依照本发明优选实施例的蚀刻溶液中,氧化物移除剂亦可例如是氟化氢(HF),其中氧化物移除剂、氧化剂及去离子水,其体积比例较佳地约为1∶2∶500,并且于大约30℃的温度条件下,使蚀刻溶液接触半导体基板10大约20分钟的时间,以将半导体基板10的表面移去厚度D。
上述依照本发明较佳的实施例中,以氢氧化铵、双氧水及去离子水的溶液,以及氟化氢、双氧水及去离子水溶液为例做说明,然于本发明所属技术领域的技术人员可了解本发明的技术不限制于此,任何其他可用来蚀刻硅材料半导体基板10的蚀刻溶液(例如氟化氢及臭氧水溶液等),均可应用于此处,以改善形成浅沟槽隔离后半导体基板的表面品质。
以下以应用于半导体装置的工艺中为例,测量并且记录应用本发明优选实施例的浅沟槽隔离的方法前后,半导体装置的阈值电压值。此半导体装置例如是P沟道金半晶体管(PMOS)以及N沟道金属氧化物半导体晶体管(NMOS)为例做说明。请参照图3A,其绘示应用本发明优选实施例的形成浅沟槽隔离的方法前后PMOS阈值电压值的分布图。第一电压范围A1表示未应用本实施例的方法时,PMOS阈值电压值的分布范围,第二电压范围A2表示应用本实施例的方法后,PMOS阈值电压值的分布范围。如图3A所示,第二电压范围A2小于第一电压范围A1,也就是说,应用本发明优选实施例的浅沟槽隔离方法,可有效降低阈值电压的误差范围。此外,由第一电压范围A1的平均值P1及第二电压范围A2的平均值P2可知,应用本实施例的浅沟槽隔离方法的PMOS,具有较大的负阈值电压值。另外,请参照图3B,其绘示应用本发明优选实施例的形成浅沟槽隔离的方法前后NMOS阈值电压值的分布图。第三电压范围A3表示未应用本实施例的方法时,NMOS阈值电压值的分布范围,第四电压范围A4表示应用本实施例的方法后,NMOS阈值电压值的分布范围。如图3B所示,第四电压范围A4小于第三电压范围A3,且由第三电压范围A3的平均值P3及第四电压范围A4的平均值P4可知,应用本实施例的浅沟槽隔离方法的NMOS,具有较大的正阈值电压值。整体而言,应用本发明优选实施例的形成浅沟槽隔离的方法,可降地PMOS及NMOS的阈值电压误差范围,相对提升了PMOS及NMOS运作稳定性,此外更可避免阈值电压下降的问题,进一步提升了PMOS及NMOS的品质。
上述依照本发明优选实施例的蚀刻溶液、半导体基板的表面处理方法及形成浅沟槽隔离的方法,通过氧化剂以及氧化物移除剂所组成的蚀刻溶液,将半导体基板的表面移去厚度,由此去除工艺中残留于半导体基板表面的氮化物,可提高半导体基板的表面品质,避免了库依效应的发生。此外,依照本发明优选实施例的蚀刻溶液、半导体基板的表面处理方法及形成浅沟槽隔离的方法中,仅需简易地将蚀刻溶液接触半导体基板的表面,直接针对半导体基板的表面进行蚀刻即可,其具有方法简单的优点。再者,由于蚀刻溶液的成分为一般工业上可方便取得的化学物品,具有成本低廉的优点,具体而言可节省工艺的成本。
综上所述,虽然本发明已以较佳的实施例披露如上,然其并非用以限定本发明。本发明所属技术领域的技术人员,在不脱离本发明的精神和范围内,当可作各种的更动与润饰。因此,本发明的保护范围当视后附的权利要求所界定的为准。

Claims (7)

1.一种蚀刻溶液,用以进行半导体基板的表面处理,该蚀刻溶液组成为:
氧化剂,用以氧化该半导体基板为半导体氧化物;以及
氧化物移除剂,用以移除该半导体氧化物;
去离子水,
其中,该氧化剂为双氧水,该氧化物移除剂为氢氧化铵,该氧化物移除剂所占的体积比例大于该氧化剂所占的体积比例,该氧化物移除剂、该氧化剂及该去离子水的体积比例为2~4∶1∶80~200。
2.如权利要求1所述的蚀刻溶液,
其中,该氧化物移除剂、该氧化剂及去离子水的体积比例为4∶1∶110。
3.一种蚀刻溶液,用以进行半导体基板的表面处理,该蚀刻溶液组成为:
氧化剂,用以氧化该半导体基板为半导体氧化物;以及
氧化物移除剂,用以移除该半导体氧化物;
去离子水,
其中该氧化剂为双氧水,该氧化物移除剂为氟化氢,该氧化物移除剂、该氧化剂及去离子水的体积比例为1∶2∶500。
4.一种半导体基板的表面处理方法,包括:
提供半导体基板;以及
使该半导体基板接触蚀刻溶液,组成为氧化剂、氧化物移除剂及去离子水;
其中,该氧化剂用以将该半导体基板氧化为半导体氧化物,以及该氧化物移除剂用以移除该半导体氧化物,该氧化剂为双氧水,该氧化物移除剂为氢氧化铵,该氧化物移除剂所占的体积比例大于该氧化剂所占的体积比例,该氧化物移除剂、该氧化剂及该去离子水的体积比例为2~4∶1∶80~200。
5.一种半导体基板的表面处理方法,包括:
提供半导体基板;以及
使该半导体基板接触蚀刻溶液,组成为氧化剂、氧化物移除剂及去离子水;
其中,该氧化剂用以将该半导体基板氧化为半导体氧化物,以及该氧化物移除剂用以移除该半导体氧化物,该氧化剂为双氧水,该氧化物移除剂为氟化氢,该氧化物移除剂、该氧化剂及该去离子水的体积比例为1∶2∶500。
6.一种形成浅沟槽隔离的方法,包括:
提供半导体基板,该半导体基板具有沟槽;
形成衬垫层于该沟槽的表面;
充填介电材料于该沟槽中;以及
使该半导体基板接触蚀刻溶液,以进行该半导体基板的表面处理,该蚀刻溶液组成为氧化剂、氧化物移除剂及去离子水,
其中,该氧化剂用以将该半导体基板氧化为半导体氧化物,以及该氧化物移除剂用以移除该半导体氧化物,该氧化剂为双氧水,该氧化物移除剂为氢氧化铵,该氧化物移除剂所占的体积比例大于该氧化剂所占的体积比例,该氧化物移除剂、该氧化剂及该去离子水的体积比例为2~4∶1∶80~200。
7.一种形成浅沟槽隔离的方法,包括:
提供半导体基板,该半导体基板具有沟槽;
形成衬垫层于该沟槽的表面;
充填介电材料于该沟槽中;以及
使该半导体基板接触蚀刻溶液,以进行该半导体基板的表面处理,该蚀刻溶液组成为氧化剂、氧化物移除剂及去离子水,
其中,该氧化剂用以将该半导体基板氧化为半导体氧化物,以及该氧化物移除剂用以移除该半导体氧化物,该氧化剂为双氧水,该氧化物移除剂为氟化氢,该氧化物移除剂、该氧化剂及该去离子水的体积比例为1∶2∶500。
CN2008100912007A 2007-05-30 2008-04-09 蚀刻溶液、基板的表面处理方法及形成浅沟槽隔离的方法 Active CN101314852B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/806,186 2007-05-30
US11/806,186 US7776713B2 (en) 2007-05-30 2007-05-30 Etching solution, method of surface modification of semiconductor substrate and method of forming shallow trench isolation

Publications (2)

Publication Number Publication Date
CN101314852A CN101314852A (zh) 2008-12-03
CN101314852B true CN101314852B (zh) 2010-09-29

Family

ID=40088771

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100912007A Active CN101314852B (zh) 2007-05-30 2008-04-09 蚀刻溶液、基板的表面处理方法及形成浅沟槽隔离的方法

Country Status (2)

Country Link
US (1) US7776713B2 (zh)
CN (1) CN101314852B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111987006B (zh) * 2020-10-16 2021-08-10 晶芯成(北京)科技有限公司 一种半导体结构及其制造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2581268B2 (ja) 1990-05-22 1997-02-12 日本電気株式会社 半導体基板の処理方法
JP3075290B2 (ja) 1991-02-28 2000-08-14 三菱瓦斯化学株式会社 半導体基板の洗浄液
US5919311A (en) * 1996-11-15 1999-07-06 Memc Electronic Materials, Inc. Control of SiO2 etch rate using dilute chemical etchants in the presence of a megasonic field
US5741740A (en) * 1997-06-12 1998-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation (STI) method employing gap filling silicon oxide dielectric layer
US6391793B2 (en) * 1999-08-30 2002-05-21 Micron Technology, Inc. Compositions for etching silicon with high selectivity to oxides and methods of using same
US20030045119A1 (en) * 2001-09-06 2003-03-06 Hsiao-Lei Wang Method for forming a bottle-shaped trench
JP4859355B2 (ja) 2004-08-13 2012-01-25 セイコーエプソン株式会社 トレンチ素子分離構造の形成方法、半導体基板および半導体装置
JP2006066726A (ja) * 2004-08-27 2006-03-09 Toshiba Corp 半導体装置の製造方法及び半導体基板

Also Published As

Publication number Publication date
CN101314852A (zh) 2008-12-03
US7776713B2 (en) 2010-08-17
US20080299741A1 (en) 2008-12-04

Similar Documents

Publication Publication Date Title
CN100550340C (zh) 制造半导体器件的方法
US8187487B2 (en) Material removal methods employing solutions with reversible ETCH selectivities
US20060148197A1 (en) Method for forming shallow trench isolation with rounded corners by using a clean process
US6331472B1 (en) Method for forming shallow trench isolation
JP2001007195A (ja) 高選択性cmpを用いた集積回路装置のトレンチ素子分離方法
CN102569063B (zh) 半导体装置的制造方法
US6211045B1 (en) Incorporation of nitrogen-based gas in polysilicon gate re-oxidation to improve hot carrier performance
CN100350589C (zh) 由清洗形成圆滑边角的浅沟渠隔离方法
CN101314852B (zh) 蚀刻溶液、基板的表面处理方法及形成浅沟槽隔离的方法
JP4843205B2 (ja) 半導体素子の製造方法
US6784075B2 (en) Method of forming shallow trench isolation with silicon oxynitride barrier film
US7316979B2 (en) Method and apparatus for providing an integrated active region on silicon-on-insulator devices
KR100703836B1 (ko) 반도체 소자의 트렌치형 소자분리막 형성방법
US6720235B2 (en) Method of forming shallow trench isolation in a semiconductor substrate
US6573141B1 (en) In-situ etch and pre-clean for high quality thin oxides
US6727166B1 (en) Removal of silicon oxynitride material using a wet chemical process after gate etch processing
US7192883B2 (en) Method of manufacturing semiconductor device
KR100557533B1 (ko) 반도체 소자의 소자분리막 형성방법
KR100486210B1 (ko) 프로파일을개선할수있는트랜치소자분리공정의세정방법
KR100451319B1 (ko) 반도체소자의 소자분리막 제조방법
JP2004200267A (ja) 半導体装置の製造方法
US20090170278A1 (en) Method for fabricating semiconductor device
JP2000323561A (ja) 浅いトレンチ分離構造の加工方法
KR20040059462A (ko) 반도체 소자의 트렌치형 소자분리막 형성방법
TW200900485A (en) Etching solution, method of surface modification of semiconductor substrate and method of forming shallow trench isolation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant