CN101083216A - 粘合方法以及粘合装置 - Google Patents

粘合方法以及粘合装置 Download PDF

Info

Publication number
CN101083216A
CN101083216A CNA200710108777XA CN200710108777A CN101083216A CN 101083216 A CN101083216 A CN 101083216A CN A200710108777X A CNA200710108777X A CN A200710108777XA CN 200710108777 A CN200710108777 A CN 200710108777A CN 101083216 A CN101083216 A CN 101083216A
Authority
CN
China
Prior art keywords
flexible substrate
integrated circuit
semiconductor integrated
parts
make
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200710108777XA
Other languages
English (en)
Other versions
CN101083216B (zh
Inventor
伊藤恭介
中村理
铃木幸惠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN101083216A publication Critical patent/CN101083216A/zh
Application granted granted Critical
Publication of CN101083216B publication Critical patent/CN101083216B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/4985Flexible insulating substrates
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K19/00Record carriers for use with machines and with at least a part designed to carry digital markings
    • G06K19/06Record carriers for use with machines and with at least a part designed to carry digital markings characterised by the kind of the digital marking, e.g. shape, nature, code
    • G06K19/067Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components
    • G06K19/07Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components with integrated circuit chips
    • G06K19/077Constructional details, e.g. mounting of circuits in the carrier
    • G06K19/07718Constructional details, e.g. mounting of circuits in the carrier the record carrier being manufactured in a continuous process, e.g. using endless rolls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49855Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers for flat-cards, e.g. credit cards
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01067Holmium [Ho]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01068Erbium [Er]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明的目的在于提供一种能够缩短节拍时间的粘合具有不同配置密度或配置间隔的多个零部件的粘合方法以及粘合装置。此外,本发明的目的在于提供一种低成本的半导体器件的制造方法以及一种能够以低成本制造半导体器件的制造装置。其中,在改变第一零部件的在x方向上的配置间隔的同时使第一零部件临时固定在第一柔性衬底上后,在改变第一零部件的在y方向上的配置间隔的同时使第一零部件连接到第二柔性衬底上的第二零部件,而将具有不同配置密度的多个组的零部件同时互相粘合在一起。

Description

粘合方法以及粘合装置
技术领域
本发明涉及一种使半导体集成电路电连接到设置在柔性(具有挠性的)衬底上的电路(或者元件)的半导体器件的制造方法。本发明特别涉及一种使用卷到卷(roll to roll)方式的半导体器件的制造方法。本发明涉及一种半导体器件的制造方法,在该半导体器件中使半导体集成电路电连接到形成在柔性衬底上的天线。本发明涉及一种半导体器件的制造方法,在该半导体器件中利用通过天线的无线通讯而输入/输出数据。此外,本发明还涉及一种半导体器件的制造装置。
背景技术
具有天线及与该天线电连接的半导体集成电路的半导体器件作为RFID标签引人注目。RFID标签也被称为IC标签、ID标签、转发器、IC芯片、ID芯片。已经提出了一种RFID标签的制造方法,其中,在柔性衬底上设置多个天线,使半导体集成电路以一对一的形式电连接到该多个天线(参照专利文献1)。
此外,已经提出了如下方法:在一张衬底(以下也称作元件衬底)上形成多个半导体集成电路,将多个半导体集成电路逐个地取下,并将取下来的半导体集成电路安装在与元件衬底不同的衬底上(参照专利文献2)。
[专利文献1]专利申请公开2005-115646号公报
[专利文献2]专利申请公开2000-299598号公报
发明内容
当不将排列在第一柔性衬底上的半导体集成电路和排列在第二柔性衬底上的天线重新排列而使它们直连接时,可以缩短节拍时间(tacttime)。
然而,从降低成本的观点来看,优选在元件衬底上使多个半导体集成电路高集成化而形成。此外,还优选使半导体集成电路的面积为小。另一方面,需要使天线成为预定的形状及尺寸,以便使它接收预定频率的电磁波。因此,半导体集成电路以及天线的尺寸成为不同。并且配置密度成为不同。在这种情况下,不重新排列而使形成在元件衬底上的多个半导体集成电路同时电连接到柔性衬底上的多个天线就不能实现。
因此,例如使用如专利文献2所记载的方法,必须要对形成在元件衬底上的所有半导体集成电路反复如下操作:将形成在元件衬底上的多个半导体集成电路之一取下来,并使它连接到柔性衬底上的多个天线之一。由此,导致如下问题:节拍时间长且半导体器件的制造成本高。
鉴于上述问题,本发明的目的在于提供一种粘合方法以及粘合装置。该粘合方法以及粘合装置在具有不同配置密度或配置间隔的多个零部件的粘合方法以及粘合装置中可以缩短节拍时间。本发明的目的还在于提供一种低成本的半导体器件的制造方法以及可以低成本制造半导体器件的制造装置。
本发明的特征是:在改变第一零部件的X方向上的配置间隔的同时使第一零部件临时固定第一柔性衬底之后,在改变第一零部件的在Y方向上的配置间隔的同时使第一零部件连接到第二柔性衬底上的第二零部件,而使具有不同配置密度的零部件多个组地同时粘合。
典型的是:在支撑单元上矩阵状地配置多个第一零部件,并使间隔在X方向上成为x(x>0)且在Y方向上成为y(y>0);在使第一零部件的在X方向上的配置间隔从x改变到a的同时将第一零部件临时固定到第一柔性衬底之后,在使第一零部件的在Y方向上的配置间隔从y改变到b的同时将第一零部件连接到第二柔性衬底上的第二零部件,而连续使具有不同配置密度的零部件粘合。
注意,可以根据供给第一柔性衬底的滚筒以及回收第一柔性衬底的滚筒的各自的转动速度、以及将第一零部件临时固定到第一柔性衬底的周期,而控制间隔a。此外,可以根据供给第一柔性衬底的滚筒以及回收第一柔性衬底的滚筒、供给第二柔性衬底的滚筒以及回收第二柔性衬底的滚筒的各自的转动速度,以及将第一零部件临时固定到第二柔性衬底的周期,而控制间隔b。
此外,X方向和Y方向形成的角度θ大于0度且小于180度。此外,角度θ也可以为90度。再者,还可以使X方向和Y方向形成的角度成为90度,并使第一柔性衬底和第二柔性衬底的各表面相对,且使各衬底的长边所形成的角度成为大于0度且小于90度,或者大于90度且小于180度。
此外,第一柔性衬底的宽度以及第二柔性衬底的宽度既可以为相同,又可以为不相同。
此外,本发明之一的半导体器件的制造方法包括如下步骤:在支撑单元上矩阵状地配置多个半导体集成电路,并使行间隔成为x(x>0)并且使列间隔成为y(y>0);不改变列间隔而使行间隔改化为a(a>x)地将多个半导体集成电路逐行地临时固定到第一柔性衬底;在第二柔性衬底上矩阵状地配置多个天线,并使列间隔成为a并且使行间隔成为b(b>y);通过与第一柔性衬底的多个半导体集成电路的行方向交叉地使第二柔性衬底在多个天线的行方向上移动,并且使临时固定在第一柔性衬底上的多个半导体集成电路中的一个连接到多个天线中的一个。
注意,不仅使第二柔性衬底在多个天线的行方向上移动,也可以与该行方向交叉地使第一柔性衬底在多个半导体集成电路的行方向上移动。如此,也可以使临时固定在第一柔性衬底上的多个半导体集成电路中的一个连接到多个天线中的一个。
本发明的半导体器件的制造方法包括如下步骤:在支撑单元上以m(m为自然数)行且n(n为自然数)列的矩阵状配置多个半导体集成电路,并使行间隔成为x(x>0)并且使列间隔成为y(y>0);不改变列间隔而使行间隔改变为a(a>x)地将多个半导体集成电路逐行地临时固定到第一柔性衬底上;在第二柔性衬底上以q(q为p以上的自然数)行且p(p为自然数)列的矩阵状配置多个天线,并使列间隔成为a,使行间隔成为b(b>y),并且在平行于行方向的直线上配置连接部;使临时固定在第一柔性衬底上的多个半导体集成电路中的第j(j为1以上且p以下的自然数)列的半导体集成电路连接到多个天线中的第j行的天线的连接部。
特别是,还可以使临时固定在第一柔性衬底上的多个半导体集成电路中的第i(i为1以上且p以下的自然数)行第j(j为1以上且比q小的自然数)列的半导体集成电路连接到多个天线中的第j行第i列的天线的连接部。在使半导体集成电路电连接到多个天线中的第j行的所有的天线的连接部之后,使所述第二柔性衬底在所述多个天线的行方向上移动。然后,使临时固定在第一柔性衬底上的多个半导体集成电路中的第i行第(j+1)列的半导体集成电路连接到多个天线中的第(j+1)行第i列的天线的连接部。
本发明的半导体器件的制造方法包括如下步骤:在支撑单元上以m(m为自然数)行且n(n为自然数)列的矩阵状配置多个半导体集成电路,并使行间隔成为x(x>0)并且使列间隔成为y(y>0);不改变列间隔而使行间隔改变为a(a>x)地将多个半导体集成电路逐行地临时固定到第一柔性衬底上;在第二柔性衬底上以q(q为p以上的自然数)行且p(p为m以下的自然数)列的矩阵状配置多个天线,并使列间隔成为所述a,使行间隔成为b(b>y),并且在对于列方向具有arctan(y/a)的角度的直线上配置连接部;或者使第一柔性衬底以及第二柔性衬底的各表面相对,并且使各衬底的长边以arctan(y/a)的角度交叉。使临时固定在第一柔性衬底上的多个半导体集成电路中的第j(j为1以上且p以下的自然数)列的半导体集成电路连接到多个天线中的第j行的天线的连接部。
特别是,还可以使临时固定在第一柔性衬底上的多个半导体集成电路中的第i(i为1以上且比p小的自然数)行第j(j为1以上且比q小的自然数)列的半导体集成电路连接到多个天线中的第j行第i列的天线的连接部。在使半导体集成电路连接到多个天线中的第j行的所有天线的连接部之后,使第一柔性衬底在多个半导体集成电路的行方向上移动,并且与该行方向正交地使第二柔性衬底在多个天线的行方向上移动。然后,使临时固定在第一柔性衬底上的多个半导体集成电路中的第(i+1)行第j列的半导体集成电路连接到多个天线中的第(j+1)行第i列的天线的连接部。
注意,既可以使临时固定在第一柔性衬底上的多个半导体集成电路同时连接到多个天线中的一行天线,又可以使临时固定在第一柔性衬底上的多个半导体集成电路连续地依次连接到多个天线中的一行天线。
此外,在使临时固定在第一柔性衬底上的多个半导体集成电路连接到多个天线的工序中,可以使天线与半导体集成电路电连接。在使临时固定在第一柔性衬底上的多个半导体集成电路临时连接到多个天线之后,通过进行压合、加热等而使多个天线与临时固定在第一柔性衬底上的多个半导体集成电路正式电连接。使临时固定在第一柔性衬底上的多个半导体集成电路临时连接到多个天线之后进行的压合或加热等的工序还可以对于配置在第二柔性衬底上的所有的天线同时进行。
此外,本发明之一的粘合装置包括:拾取单元;第一衬底传送单元;第二衬底传送单元;控制单元;键合单元。拾取单元在使多个第一零部件的在X方向上的间隔从x变化为a的同时,从配置有多个第一零部件的支撑单元上逐行地将第一零部件临时固定到第一柔性衬底上。第一衬底传送单元使第一柔性衬底在第一柔性衬底上的多个第一零部件的X方向上移动。第二衬底传送单元使矩阵状地配置有多个第二零部件的第二柔性衬底在多个第一零部件的Y方向上移动。控制单元控制拾取单元、第一衬底传送单元、键合单元以及第二衬底传送单元,并使临时固定在第一柔性衬底上的多个第一零部件中的一个与多个第二零部件中对应于其的一个重叠。键合单元使与多个第一零部件重叠的多个第二零部件连接。
本发明之一的半导体器件的制造装置包括:拾取单元;第一衬底传送单元;第二衬底传送单元;控制单元;键合单元。拾取单元从矩阵状地配置有多个半导体集成电路的支撑单元上逐行地将多个半导体集成电路临时固定到第一柔性衬底上。第一衬底传送单元使第一柔性衬底在第一柔性衬底上的多个半导体集成电路的行方向上移动。第二衬底传送单元使矩阵状地配置有多个天线的第二柔性衬底在多个天线的行方向且与第一柔性衬底的移动方向交叉的方向上移动。控制单元控制拾取单元、第一衬底传送单元、键合单元以及第二衬底传送单元,并使临时固定在第一柔性衬底上的多个半导体集成电路中的一个与多个天线中对应于其的一个连接部重叠。键合单元使与多个天线的连接部重叠的多个半导体集成电路连接到该天线的连接部。
注意,键合单元既可以具有使临时固定在第一柔性衬底上的多个半导体集成电路同时连接到多个天线中的一行天线的结构,又可以具有使临时固定在第一柔性衬底上的多个半导体集成电路连续地依次连接到多个天线中的一行天线的结构。
此外,键合单元还可以具有使天线与半导体集成电路电连接的结构。或者,键合单元还可以具有第一结构和第二结构。在该第一结构中,使临时固定在第一柔性衬底上的多个半导体集成电路临时连接到多个天线。在该第二结构中,通过进行压合、加热等,使多个天线与临时固定在第一柔性衬底上的多个半导体集成电路正式电连接。
通过使用本发明的粘合方法,可以通过在改变第一零部件的在X方向上的配置间隔的同时将第一零部件临时固定到第一柔性衬底之后,在改变第一零部件的在Y方向上的配置间隔的同时将第一零部件连接到第二柔性衬底上的第二零部件,而连续地使具有不同配置密度的零部件互相粘合。典型地,在支撑单元上矩阵状地配置多个第一零部件,且使间隔在X方向上成为x(x>0)且在Y方向上成为y(y>0),并在使第一零部件的在X方向上的配置间隔从x改变到a的同时将第一零部件临时固定到第一柔性衬底之后,在使第一零部件的在Y方向上的配置间隔从y改变到b的同时将第一零部件连接到第二柔性衬底上的第二零部件,而连续使具有不同配置密度的零部件粘合。此外,可以同时使多个组的零部件粘合。因此,可以缩短粘合工序所需要的节拍时间。
此外,在本发明的半导体器件的制造方法中,以大的行间隔使矩阵状地配置在支撑单元上的多个半导体集成电路临时固定到第一柔性衬底上。因此,可以使第一柔性衬底上的多个半导体集成电路的行间隔配合第二柔性衬底上的多个天线的列间隔。然后,与第一柔性衬底上的多个半导体集成电路的行方向正交地使第二柔性衬底在多个天线的行方向上移动。如此,可以使临时固定在第一柔性衬底上的多个半导体集成电路的各行对应于多个天线的各列。因此,对多个天线的一行,可以同时实施对应于该一行的多个半导体集成电路的位置对准。如此,通过相对于第一柔性衬底地使第二柔性衬底移动,使临时固定在第一柔性衬底上的多个半导体集成电路中的一个连接到多个天线中对应于其的一个。如此,可以同时或连续地连接多个天线中的一行和临时固定在第一柔性衬底上的多个半导体集成电路中的对应于该一行的多个半导体集成电路。
此外,不仅使第二柔性衬底在多个天线的行方向上移动,也可以与该行方向正交地使第一柔性衬底在多个半导体集成电路的行方向上移动。如此,在配置在支撑单元上的多个半导体集成电路的行数多于第二柔性衬底的多个天线的列数的情况下,也可以使多个半导体集成电路的各行对应于多个天线的各列。
特别是,将第二柔性衬底上的多个天线配置为使列间隔成为a且使行间隔成为b,并且在平行于行方向的直线上配置连接部。然后,使临时固定在第一柔性衬底上的多个半导体集成电路中的第j列的半导体集成电路连接到多个天线中的各行的第j行的天线的连接部。如此,可以使一个半导体集成电路对应于一个天线,并且同时或连续地连接多个天线中的一行和临时固定在第一柔性衬底上的多个半导体集成电路中的一列。
此外,还可以使临时固定在第一柔性衬底上的多个半导体集成电路中的第i行第j列的半导体集成电路连接到多个天线中的第j行第i列的天线的连接部。在使半导体集成电路连接到多个天线中的第j行的所有的天线的连接部之后,使所述第二柔性衬底在所述多个天线的行方向上移动。然后,使临时固定在第一柔性衬底上的多个半导体集成电路中的第i行第(j+1)列的半导体集成电路连接到多个天线中的第(j+1)行第i列的天线的连接部。如此,可以使半导体集成电路连接到多个天线中的各行的天线。
特别是,将第二柔性衬底上的多个天线配置为使列间隔成为a,使行间隔成为b,并且在对于行方向具有arctan(y/a)的角度的直线上配置连接部。然后,使临时固定在第一柔性衬底上的多个半导体集成电路中的配置在对角线上的半导体集成电路连接到多个天线中的各列的第j行的天线的连接部。如此,通过使一个半导体集成电路对应于一个天线,同时或者连续地连接多个天线中的一行和排列在第一柔性衬底的具有arctan(y/a)的角度的直线上的半导体集成电路。
此外,还可以使临时固定在第一柔性衬底上的多个半导体集成电路中的第i行第j列的半导体集成电路连接到多个天线中的第j行第i列的天线的连接部。在使半导体集成电路连接到多个天线中的第j行的所有的天线的连接部之后,使第一柔性衬底在多个半导体集成电路的行方向上移动,并且与该行方向正交地使第二柔性衬底在多个天线的行方向上移动。然后,使临时固定在第一柔性衬底上的多个半导体集成电路中的第(i+1)行第j列的半导体集成电路连接到多个天线中的第(j+1)行第i列的天线的连接部。如此,可以使半导体集成电路连接到多个天线中的各行的天线。
此外,在使天线连接到临时固定在第一柔性衬底上的多个半导体集成电路的工序中,可以将该工序分为两个步骤:使临时固定在第一柔性衬底上的多个半导体集成电路临时连接到多个天线的工序(以下,称为临时连接);通过进行压合、加热等而使临时固定在第一柔性衬底上的多个半导体集成电路电连接到多个天线的工序(以下,称为正式连接)。与正式连接相比,在临时连接中,很少需要控制压力或加热的条件,所以容易提高位置对准的精度。如此,可以精度好地连接多个天线和临时固定在第一柔性衬底上的多个半导体集成电路,因此可以更确实地使天线与半导体集成电路电连接。
根据上述,可以缩短节拍时间而提高批量生产性,结果提供低成本的半导体器件的制造方法。
本发明的半导体器件的制造装置包括:拾取单元;第一衬底传送单元;第二衬底传送单元;控制单元;键合单元。拾取单元从矩阵状地配置有多个半导体集成电路的支撑单元上逐行地将多个半导体集成电路临时固定到第一柔性衬底上。第一衬底传送单元使矩阵状地配置有多个天线的第二柔性衬底在多个天线的行方向且与第一柔性衬底的移动方向交叉的方向上移动。第二衬底传送单元使第一柔性衬底与第一柔性衬底上的多个半导体集成电路的行方向正交地移动。控制单元控制拾取单元、第一衬底传送单元、键合单元以及第二衬底传送单元,并使临时固定在第一柔性衬底上的多个半导体集成电路中的一个与多个天线中对应于其的一个的连接部重叠。键合单元使与多个天线的连接部重叠的多个半导体集成电路连接到该天线的连接部。如此,可以同时或者连续地连接多个天线中的一行和临时固定到第一柔性衬底上的多个半导体集成电路中的对应于该一行的多个半导体集成电路。
此外,键合单元除了进行临时连接的结构以外,还可以具有进行正式连接的结构。如此,可以使临时固定在第一柔性衬底上的多个半导体集成电路精度好地连接到多个天线,而更确实地使天线电连接到半导体集成电路。
根据上述,可以缩短节拍时间而提高批量生产性,结果提供以低成本制造半导体器件的制造装置。
附图说明
图1A至1C为说明本发明的半导体器件的制造装置的透视图以及截面图;
图2A和2B为说明可以使用于本发明的半导体集成电路以及天线的俯视图;
图3A至3C为说明本发明的半导体器件的制造方法的截面图;
图4A至4C为说明本发明的半导体器件的制造方法的截面图;
图5A至5C为说明本发明的半导体器件的制造方法的截面图;
图6A至6E为说明本发明的半导体器件的制造方法的截面图;
图7A和7B为说明本发明的半导体器件的制造方法的俯视图;
图8为说明本发明的半导体器件的制造方法的俯视图;
图9A和9B为说明本发明的半导体器件的制造方法的俯视图;
图10为说明本发明的半导体器件的制造方法的俯视图;
图11为说明本发明的半导体器件的制造方法的俯视图;
图12A和12B为说明可以使用于本发明的半导体集成电路以及天线的俯视图;
图13A和13B为说明本发明的半导体器件的制造方法的俯视图;
图14A和14B为说明本发明的半导体器件的制造方法的俯视图;
图15A至15E为说明本发明的半导体器件的制造工序的截面图;
图16A至16D为说明本发明的半导体器件的制造工序的截面图;
图17A和17B为说明本发明的半导体器件的制造工序的截面图;
图18A和18B为说明本发明的半导体器件的制造工序的截面图;
图19A至19C为说明本发明的半导体器件的制造工序的截面图;
图20A至20C为说明可以适用于本发明的天线的俯视图;
图21为说明本发明的半导体器件的图;
图22A至22F为说明本发明的半导体器件的应用例子的图。
本发明的选择图为图1。
具体实施方式
关于本发明的实施方式,参照附图而详细说明。但是,所属技术领域的普通人员可以很容易地理解一个事实,就是本发明不局限于以下说明,其方式和详细内容可以被变换为各种各样的形式而不脱离本发明的宗旨及其范围。因此,本发明不应该被解释为仅限定在以下所示的本实施方式所记载的内容中。注意,在以下说明的本发明的结构中,在不同的附图中共同使用表示相同部分的符号。
实施方式1
以下说明本发明的半导体器件的制造方法。为说明而使用图1A至图10。
图1A至图1C表示本发明的粘合装置以及半导体器件的制造装置的一个形式。粘合装置包括:配置有第一零部件的支撑单元;保持第一零部件的第一柔性衬底;用来使配置在支撑单元上的第一零部件临时固定到第一柔性衬底的拾取单元。此外,该粘合装置还包括:配置有第二零部件的第二柔性衬底;用来使第一零部件连接到第二零部件的键合单元。此外,该粘合装置还包括由供给(推出)第一柔性衬底的第一供给部以及回收(收回)第一柔性衬底的第一回收部构成的第一衬底传送单元。此外,该粘合装置还包括由供给(推出)第二柔性衬底的第二供给部以及回收(收回)第二柔性衬底的第二回收部构成的第二衬底传送单元。可以根据第二供给部的工作、第二回收部的工作以及滚筒的转动速度,来控制第二柔性衬底的移动时机以及移动速度。
以下,示出使用半导体集成电路而作为第一零部件且使用天线而作为第二零部件的半导体器件的制造装置的形态。注意,作为第一零部件,可以适当地使用代替半导体集成电路的天线、第二半导体集成电路、传感器、电池、线路板、显示器件、MEMS(Micro ElectroMechanical System,微电子机械系统)等。此外,作为第二零部件,可以适当地使用代替天线的半导体集成电路、传感器、电池、线路板、显示装器件、MEMS等。
半导体器件的制造装置包括:配置有半导体集成电路24的支撑单元20;保持半导体集成电路24的第一柔性衬底23;用来使配置在支撑单元20上的半导体集成电路24临时固定到第一柔性衬底23的拾取单元21。此外,该半导体器件的制造装置还包括:形成有天线26的第二柔性衬底25;用来使半导体集成电路24连接到天线26的键合单元22。此外,该半导体器件的制造装置还可以包括:控制第一柔性衬底23的移动的滚筒30;控制第二柔性衬底25的移动的滚筒31。
图1B表示图1A中的A-B的截面图。注意,图1A中的A-B为半导体集成电路的列方向的截面图。如图1B所示的一个形式,在支撑单元20上的半导体集成电路24上中间保持一定间隔地配置第一柔性衬底23。再者,在第一柔性衬底23上中间保持一定间隔地配置拾取单元21。拾取单元21具有推压部34。再者,还可以中间夹着第一柔性衬底23以及半导体集成电路24而相反地设置支撑单元20以及拾取单元21。
图1C表示图1A中的C-D的截面图。注意,图1A中的C-D为天线的列方向的截面图。天线的各行间隔为a。如图1C所示的一个形式,第一柔性衬底23上的半导体集成电路24和第二柔性衬底25上的天线26中间保持一定间隔而互相相对。此外,与第二柔性衬底25中间保持一定间隔而配置键合单元22。键合单元22具有推压部33。再者,也可以中间夹着第一柔性衬底23以及第二柔性衬底25而在与键合单元22相反一侧设置衬底32,以便促进第一柔性衬底23上的半导体集成电路24与第二柔性衬底25上的天线26的连接,也就是使键合单元22的加压成为容易。再者,也可以中间夹着第一柔性衬底23以及第二柔性衬底25而将衬底32以及拾取单元22相反地设置。
此外,虽然未图示,但是该半导体器件的制造装置包括由供给(推出)第一柔性衬底23的第一供给部以及回收(收回)第一柔性衬底的第一回收部构成的第一衬底传送单元。可以根据第一供给部的工作、第一回收部的工作以及滚筒30的转动速度,来控制第一柔性衬底23的推出的时机以及移动速度。此外,该半导体器件的制造装置还包括由供给(推出)第二柔性衬底25的第二供给部以及回收(收回)第二柔性衬底25的由第二回收部构成的第二衬底传送单元。可以根据第二供给部的工作、第二回收部的工作以及滚筒31的转动速度,来控制第二柔性衬底25的移动时机以及移动速度。
此外,该半导体器件的制造装置还包括用来控制支撑单元20、拾取单元21、键合单元22、第一衬底传送单元、第二衬底传送单元、滚筒30和31等的工作的控制装置。
在本半导体器件的制造装置中,如图2A所示的一个形式,在支撑单元20上以m行n列的矩阵状配置半导体集成电路24。此时的半导体集成电路的行间隔为x,列间隔为y。注意,在此半导体集成电路的行间隔以及列间隔为与邻接的半导体集成电路的中心之间的距离。再者,将与第一柔性衬底23移动的箭头37平行的方向作为被矩阵状地配置的半导体集成电路的行方向。优选的是,在半导体集成电路的各个内部中设置有对准标记。
在本半导体器件的制造装置中,如图2B所示的一个形式,在第二柔性衬底25上以q行p列的矩阵状配置天线26。此时的邻接的天线的连接部29的行间隔为b,列间隔为a。注意,在此天线的连接部的行间隔以及列间隔为与邻接的天线的连接部的中心之间的距离。再者,将与第二柔性衬底25移动的箭头35平行的方向作为被矩阵状地配置的天线的行方向。优选的是,在天线的各个内部中设置有对准标记。或者,优选的是,在第二柔性衬底中设置有对准标记。通过当将天线连接到半导体集成电路时使各自的对准标记一致,可以成品率高地进行连接。
注意,在此说明如下形式,即天线26的连接部29具有与半导体集成电路24同样的面积。此外,天线26的连接部29还可以具有与半导体集成电路24不同的面积。就是说,根据半导体器件的制造装置的对准位置的精度,有可能天线26的连接部29和半导体集成电路24不完全重叠而错离开一些,但是只要半导体集成电路24的连接端子与天线26电连接,就可以。
作为支撑单元20的典型例子,有用来安装半导体集成电路24的载物台或浅盘、贴有UV薄片的扩张环等。此外,支撑单元20还可以设置在移动装置上。作为移动装置,有能够水平移动(xy方向)的传送带、能够升降以及水平移动(xyz方向)的机械手臂或载物台等。
拾取单元21包括推压部34。此外,机械手臂、喷头、滚筒等移动装置连接到拾取单元21。通过设置这种移动装置,可以自由实行拾取单元的升降以及水平移动(xyz方向)。拾取单元21可以压合第一柔性衬底23以及半导体集成电路24,并且使半导体集成电路24从支撑单元20移动到第一柔性衬底23。也可以在每个半导体集成电路中设置推压部34。此外,也可以在要推压的整个区域中设置一个推压部34。在此,示出在每个半导体集成电路中设置有推压部34的形式。注意,推压部34优选能够负荷10g至100kg、更优选50g至50kg。
半导体集成电路24由多个半导体元件构成其电路,典型地是形成有多个半导体元件的硅芯片、具有多个薄膜半导体元件的芯片等。
形成有多个半导体元件的硅芯片的制造方法的典型例子为如下:在硅片的表面上形成MOS晶体管、电容元件、电阻元件、二极管等,接着,对于硅片的背面进行被称为背面研磨(back grinding)的研磨处理,来使硅片的厚度成为30至250μm,优选50至100μm,然后使用切割器将硅片分割为矩形来形成芯片。
具有多个薄膜半导体元件的芯片的典型例子为具有薄膜晶体管、电容元件、电阻元件、薄膜二极管等的层。具有薄膜半导体元件的芯片的制造方法的典型例子为如下:在衬底上形成具有薄膜晶体管、电容元件、电阻元件、薄膜二极管等的层,从衬底剥离该层,将此分割为矩形来形成芯片。
第一柔性衬底23优选为其表面上具有粘合层的柔性衬底(以下,也写为具有粘合层的薄膜),以便在其表面上保持半导体集成电路24。作为具有粘合层的薄膜,有紫外线固化型粘合薄膜(也称为UV薄膜、UV胶带、UV薄片)、由于施加压力而改变粘合力的压敏薄膜(也称为压敏胶带、压敏薄片)、热固化型粘合薄膜(也称为热固化型粘合胶带、热固化型粘合薄片)等。而且,具有粘合层的薄膜也可以为可伸缩的扩张型薄膜。
另一方面,作为第二柔性衬底25,使用具有挠性的柔性衬底。再者,也可以在第二柔性衬底25上设置有粘合层以及粘性处理纸(release paper)。在此情况下,在与形成有天线的面相反一侧设置粘合层以及剥落纸。作为柔性衬底,典型地说,有由PET(聚对苯二甲酸乙二醇酯)、PEN(聚萘二甲酸乙二醇酯)、PES(聚醚砜)、聚丙烯、聚丙烯硫醚、聚碳酸酯、聚醚酰亚胺、聚苯硫醚、聚苯醚、聚砜、聚邻苯二甲酰胺等构成的衬底。此外,还有由纤维材料构成的纸。此外,还可以使用如下衬底:在上述柔性衬底的与形成有天线的面相反一侧层合粘合性有机树脂(丙烯酸树脂、环氧树脂、硅酮树脂等)而作为由热可塑性材料形成的层。
键合单元22为通过推压部33压合半导体集成电路24和天线26,来安装(正式压合)半导体集成电路24和天线26的单元。注意,通过在半导体集成电路24和天线26之间设置导电膏剂,可以更确实地连接半导体集成电路24和天线26。
键合单元22可以通过推压部33在进行加热的同时压合天线和半导体集成电路,而使天线和半导体集成电路电连接。在此情况下,在键合单元22的推压部中设置有压合单元和加热单元。作为这种键合单元,有使用热压合法或超声波接合法的设备,典型地可以使用加热器或超音波喇叭形辐射体(ultrasonic horn)而作为推压部。通过采用这种结构,而不需要另外设置大型加热器,因此可以使半导体器件的制造装置小型化。
此外,在只使用压合单元而作为键合单元22的推压部33的情况下,另外设置用来使天线和半导体集成电路的连接部电连接的加热单元。作为这种加热单元,有加热器。通过采用这种结构,可以提高键合单元的位置对准的精度,并且缩短粘合时间。结果,可以通过利用键合单元以短时间精度好地使临时固定在第一柔性衬底上的多个半导体集成电路连接到多个天线,并且可以通过利用另外设置的加热单元更确实地使天线与半导体集成电路电连接。
注意,作为用来使天线和半导体集成电路连接的加热单元,优选使用能够进行从室温到500度的加热的加热器。此外,推压部33优选能够负荷10g至100kg、更优选50g至50kg。
作为天线26,可以使用通过印刷法、蚀刻导电薄膜的方法、镀敷法等的方法在柔性衬底上形成的导电层。天线26可以由具有Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Zr以及Ba中的任何一个或更多的元素的导电层形成。
图20A至20C示出可适用于本发明的天线的俯视图。在将电磁耦合方式或者电磁感应方式(例如,13.56MHz频带)适用于半导体器件的信号传输方式的情况下,为了利用由磁场密度的变化引起的电磁感应,用作天线的导电层的形状可以为如图20A所示的方形线圈状281、或者圆形线圈状(例如,螺旋天线)。此外,用作天线的导电层的形状可以为如图20B所示的方形环状282、或者圆形环状。
此外,在适用微波方式(例如,UHF频带(860至960MHz频带)、2.45GHz频带等)的情况下,考虑到用于传输信号的电磁波的波长,而适当地设定用作天线的导电层的长短等的形状即可。例如,可以使用如图20C所示的直线型偶极状283、曲线型偶极状、或者平面形状(例如平板天线)。
注意,在此示出在第二柔性衬底25上形成有天线26的形式,但是不局限于此。例如,可以适当地使用第二半导体集成电路、传感器、电池、线路板、显示器件等,而代替天线26。
滚筒30和31、第一供给部、第二供给部、第一回收部、第二回收部为圆筒转动体,典型地相当于其表面被磨光的圆筒铸造品或塑料等。滚筒30和31、第一供给部、第二供给部各自以规定速度转动。此外,第一供给部、滚筒30以及第一回收部在相同方向上转动。此外,第二供给部、滚筒31以及第二回收部在相同方向上转动。
将衬底32设置得当通过键合单元22使半导体集成电路24与天线26连接时,使键合单元22容易推压。因此,作为衬底32,可以适当地使用板状构件、滚筒等。
虽然未图示,但是控制单元具有用来检测拾取单元21以及半导体集成电路24的位置的检测元件。此外,还具有用来检测在第一柔性衬底23上的半导体集成电路24以及键合单元22的位置的检测元件。此外,还具有用来分别驱动拾取单元21、第一供给部、滚筒30、第一回收部、键合单元、第二供给部、滚筒31、第二回收部等的驱动部。此外,还具有用来驱动该驱动部的驱动电路。
作为检测元件,可以使用CCD摄像机等,并且对由检测元件检测的图像信息进行图像处理,来检测半导体集成电路、天线的连接端子、对准标记的位置。作为驱动部,可以使用发动机,并且通过驱动电路传输来的信号,驱动驱动部。作为驱动电路,可以使用微型电子计算机。具体地说,微型电子计算机包括中央计算处理单元、作为存储部的ROM以及RAM,并且在RAM中暂时保存通过检测元件检测出的图像信息或驱动部的驱动信息等的同时,通过记录在ROM中的程序以及保存在RAM中的信息而将驱动驱动部的信号传送到驱动部。
再者,控制单元也可以连接到服务器、其他个人电子计算机等。
通过控制单元,来控制利用拾取单元21而使半导体集成电路24临时固定到第一柔性衬底23的时机以及拾取单元21的压力。此外,通过控制单元,还控制第一供给部、滚筒30、第一回收部的转动速度以及其转动工作。此外,通过控制单元,还控制将键合单元22推压到第二柔性衬底25以及半导体集成电路24的时机以及键合单元22的压力。此外,通过控制单元,还控制第二供给部、滚筒31、第二回收部的转动速度以及其转动工作。
注意,也可以在支撑单元20和拾取单元21之间具有倒装单元。作为倒装单元,优选使用具有转动功能的拾取单元。典型地有具有夹持部的滚筒或喷头。作为夹持部的典型例子,有夹握工具诸如小钳子、爪子等;套抓(collet);或者能够吸附的喷嘴等。
下面,以下示出使用上述粘合装置的第一零部件和第二零部件的粘合方法。
在此,示出如下情况:第一柔性衬底和第二柔性衬底的各表面相对且各衬底的长边即移动方向交叉为90度,并第一零部件的行和列交叉为90度,且第二零部件的行和列交叉为90度。
包括配置为m行n列的矩阵状的第一零部件、配置为q行p列的矩阵状的第二零部件。在使第一柔性衬底移动的同时对拾取单元进行p次推压,来使p行的第一零部件临时固定到第一柔性衬底。此时,第一柔性衬底的移动距离为pa。接着,停止第一柔性衬底的移动。然后,通过推压键合单元,而使第一柔性衬底上的第一零部件连接到第二柔性衬底上的第二零部件后,使第二柔性衬底以距离b移动。反复n次键合单元的推压以及第二柔性衬底的移动。结果,可以使p行的第一零部件贴附到第二零部件。此后,可以通过在再度使第一柔性衬底移动的同时推压p次拾取单元,来反复上述工作,而将配置为m行n列的矩阵状的第一零部件和配置为q行p列的矩阵状的第二零部件粘在一起。
下面,参照图1A至1C、图3A至3C、图5A至5C以及图6A至6E来说明如下半导体器件的制造方法:作为第一零部件,使用半导体集成电路,并且作为第二零部件,使用天线,来形成半导体器件。注意,在以下说明中,第i行j列也写为(i、j)。
参照图1A至1C、图3A至3C而说明如下方法:使半导体集成电路24临时固定到第一柔性衬底23上。图3A至3C为图1A中的A-B的截面图。图3A为与图1B同样的图,并且配置配置在支撑单元20上的半导体集成电路24、中间保持一定距离地配置在半导体集成电路24上的第一柔性衬底23、中间保持一定距离地配置在第一柔性衬底23上的拾取单元21。
如图3B所示,通过驱动部将拾取单元21推压到支撑单元20。或者,将支撑单元20推压到拾取单元。结果,可以通过拾取单元21使半导体集成电路24临时固定到第一柔性衬底23。此时,通过利用控制装置,来控制使半导体集成电路24临时固定到第一柔性衬底23的时机,如图1A至1C所示地使半导体集成电路的行间隔从x变成a。注意,间隔a为设置在第二柔性衬底25上的邻接的天线的各列的连接部的间隔。接着,如图3C所示,通过驱动部将拾取单元21或支撑单元20放回原处。通过上述工序,可以使半导体集成电路24临时固定到第一柔性衬底23。
在此,参照图5A至图6E而说明如下情况:在配置在支撑单元20上的半导体集成电路24中,根据形成的连接端子的表面,而使半导体集成电路24临时固定到第一柔性衬底23的方法为不同。
图5A至图5C表示如下工序:在图1A中的A-B的一部分中,使半导体集成电路24临时固定到第一柔性衬底23。
图5A至5C表示如下情况:半导体集成电路24的连接端子24a相对于支撑单元20,并且连接端子24a的连接面在拾取单元21一侧不露出。注意,像这样,作为使半导体集成电路24的连接端子24a相对于支撑单元20的结构,也可以在半导体集成电路24的里面形成与半导体元件连接的通孔,并且使填充该通孔的插头成为连接端子24a。此外,也可以在半导体集成电路24的表面上形成连接端子24a,然后使连接端子24a相对于支撑单元20地使半导体集成电路反转来设置在支撑单元20上。
在此情况下,可以通过利用图1A至1C所示的半导体器件的制造装置,来使半导体集成电路24与天线26连接。具体地说,如图5B所示,通过驱动部将拾取单元21推压到支撑单元20。或者,将支撑单元20推压到拾取单元。接着,如图5C所示,通过驱动部将拾取单元21或支撑单元20放回原处。通过上述工序,可以使半导体集成电路24临时固定到第一柔性衬底23,并使连接端子露出。
下面,参照图6A至6E而说明如下情况:半导体集成电路24的连接端子24a不相对于支撑单元20,并且连接端子的连接面在拾取单元一侧露出。图6A至6D表示如下工序:在图1A中的A-B的一部分中,使半导体集成电路24临时固定到第一柔性衬底23。
在此情况下,即使通过如图5A至5C所示的方法使半导体集成电路24临时固定到第一柔性衬底23,也会使连接端子24a的连接面成为相对于第一柔性衬底23的形式,因此不能在以后使天线连接到连接端子24a。因此,在半导体器件的制造装置中,在从支撑单元20移动到拾取单元21之间设置用来使半导体集成电路24反转的倒装单元。
作为倒装单元,只要其具有能够在滚筒的表面上夹持半导体集成电路的夹持部,即可。此外,也可以为能够转动180度以上的拾取单元。
具体地说,如图6B所示,通过驱动部将倒装单元36推压到支撑单元20。或者,将支撑单元20推压到倒装单元。此后,通过驱动部将倒装单元36或支撑单元20放回原处,并且使倒装单元36夹持半导体集成电路24。此时,倒装单元36在半导体集成电路24的形成有连接端子24a的表面一侧夹持半导体集成电路24。
接着,通过驱动部使倒装单元36转动180度,并如图6C所示那样配置为使第一柔性衬底23相对于与半导体集成电路24的形成有连接端子24a的面的相反一侧。再者,通过检测元件和驱动部进行配置,并使拾取单元21的推压部34中间夹着第一柔性衬底23与半导体集成电路24的形成有连接端子24a的面的相反一侧相对。
接着,如图6D所示,通过驱动部将拾取单元21推压到倒装单元36。或者,将倒装单元36推压到拾取单元21。此后,将拾取单元21或倒装单元36放回原处,并且如图6E所示,使半导体集成电路24临时固定到第一柔性衬底23,并使连接端子24a露出。
通过上述工序,可以使半导体集成电路24临时固定到第一柔性衬底23。
下面,参照图1A至1C、图4A至4C、图7A至图11,而说明使第二柔性衬底23上的天线26与半导体集成电路24连接的方法。注意,虽然在图7A至图11中,以实线表示半导体集成电路,但是实际上半导体集成电路与天线相对,并且在相对的半导体集成电路和天线的外侧分别设置有第一柔性衬底23以及第二柔性衬底25。换言之,在图7A至图11中,在最外面配置有第一柔性衬底23,并且依次向里面配置有半导体集成电路、天线以及第二柔性衬底。
首先,通过使用用来检测半导体集成电路24以及键合单元的位置的检测元件,而对天线的连接部29以及半导体集成电路24的连接端子进行对准位置。具体地说,如图4A所示,通过检测元件以及驱动部来控制第一柔性衬底23和第二柔性衬底25的位置,并使第一柔性衬底23上的半导体集成电路24与第二柔性衬底25上的天线26的连接部相对。
接着,如图4B所示,通过驱动部将键合单元22推压到衬底32。具体地说,如图7A所示,使从第1行1列到第p行1列的半导体集成电路40和从第1行1列到第1行p列的天线41分别连接。然后,如图3C所示,通过驱动部将键合单元22放回原处。通过上述工序,可以使第二柔性衬底25上的天线26和半导体集成电路24连接。
接着,如图7B所示,在将第一柔性衬底23固定的状态下,通过利用驱动部来启动第二衬底传送单元,而使第二柔性衬底25在箭头35的方向上移动b-y。
在此,参照图8而说明第二柔性衬底25的移动距离。图8为放大图7A中的第1行1列至第1行n列的半导体集成电路、第1行1列的天线以及第2行1列的天线的图。
连接于半导体集成电路45((1,1))的天线46((1,1))的连接部与邻行的天线48((2,1))的连接部29的间隔为b。另一方面,连接于天线46的半导体集成电路45((1,1))与邻列的半导体集成电路47((1,2))的间隔为y。
为了在使半导体集成电路45((1,1))和天线46((1,1))连接后,使半导体集成电路47((1,2))和天线48((2,1))连接,通过第二衬底传送单元使第二柔性衬底25在箭头35的方向上移动,来使天线48的连接部29和半导体集成电路47相对,即可。就是说,使第二柔性衬底25在箭头35的方向上移动,并使第一柔性衬底23上的半导体集成电路47的位置与天线48的连接部29一致,即可。由此,第二柔性衬底25的移动距离为b-y。
如图7B所示,通过使第二柔性衬底2 5在箭头35的方向上移动后,利用键合单元22,推压天线以及半导体集成电路,可以使从第1行2列到第p行2列的半导体集成电路43和从第2行1列到第2行p列的天线44分别连接。
然后,通过反复半导体集成电路和天线的连接、以及第二柔性衬底的移动,可以使第(3,1)~第(3,p)至第(n-1,1)~第(n-1,p)的半导体集成电路连接到天线。
下面,如图9A所示,使从第1行n列到第p行n列的半导体集成电路60与从第n行1列到第n行p列的天线61分别连接。结果,第一柔性衬底上的从第1行到第p行的半导体集成电路都临时固定到第二柔性衬底25上的天线。由此,使第一柔性衬底23在箭头37的方向上移动pa,并且使第二柔性衬底25在箭头35的方向上移动b+(n-1)y。结果,如图9B所示,可以使第(1+p,1)~第(p+p,1)的半导体集成电路与第(n+1,1)~第(n+1,p)行的天线相对。因此,第(1+p,1)~第(p+p,1)的半导体集成电路63与第(n+1,1)~第(n+1,p)的天线64的连接部相对。通过利用键合单元推压这些,可以分别进行连接。
在此,参照图10而说明第一柔性衬底23以及第二柔性衬底25的移动距离。注意,在此使用如下例子而说明:在使第1行n列的半导体集成电路65与第n行1列的天线66的连接部连接后,使第(1+p)行1列的半导体集成电路67与第(n+1)行1列的天线68的连接部连接。
首先,参照图10而表示在天线的行方向上的移动距离。在图9A中,连接于半导体集成电路的天线61的一部分的第n行1列的天线66的连接部与邻行(第(n+1)行1列)的天线68的连接部69的间隔为b。另一方面,在列方向上的半导体集成电路的间隔为y。此外,设置有1至n列的半导体集成电路。因此,为了使第一柔性衬底23上的半导体集成电路67的位置与天线68的连接部69一致,使第二柔性衬底25在箭头35方向上移动b+(n-1)y,即可。
接着,同样地参照图10而表示半导体集成电路的在行方向上的移动距离。在行方向上的半导体集成电路的间隔为a。此外,设置有1至p列的天线。由此,为了使第一柔性衬底23上的半导体集成电路67的位置与天线68的连接部69一致,使第二柔性衬底25在箭头37方向上移动pa,即可。
注意,在此,当将第1行至第p行的半导体集成电路与第二柔性衬底25上的天线连接后,将使第一柔性衬底23在箭头37方向上移动的次数设定为u时,接下来与天线粘在一起的半导体集成电路为第(1+up)行至第(p+up)行。此外,能与该半导体集成电路粘在一起的天线为第(1+un)行至第(n+un)行(u为自然数)。
此外,如图11所示,使第i行j列的半导体集成电路80与第j行i列的天线81连接。接着,在使第二柔性衬底25在箭头35的方向上移动后,可以使第i行(j+1)列的半导体集成电路82连接到第(j+1)行i列的天线83。
再者,也可以密封半导体集成电路以及天线地使第三柔性衬底与第二柔性衬底的表面粘在一起。结果,可以密封半导体集成电路以及天线。
然后,通过在适当的部分(例如,图11中的实线27)中切断第二柔性衬底、第三柔性衬底,可以制造具有半导体集成电路、天线、切断的第二柔性衬底、切断的第三柔性衬底的半导体装置。
通过利用本发明的半导体器件的制造装置、以及使用半导体器件的制造装置的制造方法,可以同时或连续地将多个天线中的一行和临时固定在第一柔性衬底上的多个半导体集成电路中的对应于该一行的多个半导体集成电路连接。由此,可以通过一次键合处理,来制造多个半导体器件。因此,可以提高半导体器件的批量生产性。
实施方式2
在本实施方式中,说明如下情况:使其行和列分别以一定角度θ交叉地配置第一零部件和第二零部件。
在本实施方式所示的粘合装置中,如图1A至1C所示,第一柔性衬底和第二柔性衬底的各表面相对且各衬底的长边即移动方向交叉为90度,并且在配置为m行n列的矩阵状的第一零部件中,行和列以arctan(y/x)交叉。此外,在配置为q行p列的矩阵状的第二零部件中,行和列以arctan(y/a)交叉。注意,不局限于上述结构,也可以与实施方式1同样地在配置为m行n列的矩阵状的第一零部件中,行和列以90度交叉,并在配置为q行p列的矩阵状的第二零部件中,行和列以90度交叉,且第一柔性衬底和第二柔性衬底的各表面相对并且各衬底的长边即移动方向以arctan(y/a)交叉。
下面,示出半导体器件的制造装置的如下形式:作为第一零部件,使用半导体集成电路,并且作为第二零部件,使用天线。注意,作为第一零部件,可以适当地使用天线、第二半导体集成电路、传感器、电池、线路板、显示器件、MEMS等,而代替半导体集成电路。此外,作为第二零部件,可以适当地使用半导体集成电路、传感器、电池、线路板、显示器件、MEMS等,而代替天线。
在本半导体器件的制造装置中,如图12A所示的一个形态,在支撑单元20上将半导体集成电路配置为m行n列的矩阵状。此时的半导体集成电路的行间隔为x,列间隔为y。再者,与第一柔性衬底23移动的箭头方向37平行的方向为配置为矩阵状的半导体集成电路的行方向。本实施方式的半导体集成电路的行与半导体集成电路的列以角度θ1交叉。
在本半导体器件的制造装置中,如图12B所示的一个形态,在第二柔性衬底25上将天线26配置为q行p列的矩阵状。此时的邻接的天线的连接部75的行间隔为b,列间隔为a。再者,与第二柔性衬底25移动的箭头方向35平行的方向为配置为矩阵状的天线的行方向。再者,天线的行与天线的列以角度θ交叉。
注意,在此说明如下情况:天线26的连接部75与实施方式1的天线26的连接部29同样地具有与半导体集成电路24同样的面积。此外,天线26的连接部29与半导体集成电路24的面积还可以为不同。换言之,根据半导体器件的位置对准的精度,有可能天线26的连接部29与半导体集成电路24不完全重叠而错离开一些,但是只要半导体集成电路24的连接端子与天线26电连接,即可。
以下示出通过使用上述粘合装置,将第一零部件和第二零部件粘在一起的方法。
在此示出如下情况:第一柔性衬底和第二柔性衬底的各表面相对且各衬底的长边即移动方向交叉为90度,并第一零部件的行和列以θ1=arctan(y/x)交叉,且第二零部件的行和列以θ=arctan(y/a)交叉。
包括配置为m行n列的矩阵状的第一零部件、配置为q行p列的矩阵状的第二零部件。在使第一柔性衬底移动的同时对拾取单元进行p次以上推压,来使p行以上的第一零部件临时固定到第一柔性衬底。此时,使第一柔性衬底移动的距离为pa以上。接着,在使第一柔性衬底的第一零部件在对应于第二柔性衬底上的第二零部件的区域中移动后,推压键合单元,来使第一柔性衬底上的第一零部件连接到第二柔性衬底上的第二零部件。接着,在使第二柔性衬底移动距离b的同时,使第一柔性衬底移动距离a。然后,反复键合单元的推压、第一柔性衬底的移动、以及第二柔性衬底的移动。此时,如果第一柔性衬底的速度为a,并且第二柔性衬底的速度为b,则可以连续地使第一零部件贴到第二零部件。注意,当推压键合单元而将第一零部件和第二零部件粘在一起时,推压拾取单元来使支撑单元上的第一零部件临时固定到第一柔性衬底,即可。
下面,参照图13A至图14B而说明如下半导体器件的制造方法:使用半导体集成电路而作为第一零部件,并且使用天线而作为第二零部件。注意,虽然在图13A至图14B中,以实线表示半导体集成电路,但是实际上半导体集成电路和天线相对,并且在半导体集成电路和天线相对的面的外侧分别设置有第一柔性衬底23以及第二柔性衬底25。就是说,在图13A至图14B中,在最外面配置有第一柔性衬底23,并且依次向里面配置有半导体集成电路、天线以及第二柔性衬底。
首先,与实施方式1同样地使半导体集成电路临时固定到第一柔性衬底上。此时,使半导体集成电路的列的间隔从x变成a地进行临时固定。
如图13A所示,在第一柔性衬底23与第二柔性衬底25重叠的区域中,虚线76a上的第i行的半导体集成电路连接到与该半导体集成电路相对的第j行的天线81的连接部。注意,还可以在第i行的半导体集成电路中,使所有的半导体集成电路同时与天线连接。此外,在第i行j列的半导体集成电路被连接的时机以外,还可以使第i行(j-1)列的半导体集成电路77和第i行(j+1)列的天线的连接部连接。
然后,使第一柔性衬底23在箭头37的方向上移动,并且使第二柔性衬底25在箭头35的方向上移动。结果,如图13B所示,可以使虚线76b上的第(i+1)行的半导体集成电路和第(j+1)行的天线83的连接部相对。
参照图14A而说明此时的第一柔性衬底23和第二柔性衬底25的移动距离以及天线的行与列交叉的角度θ。在此,在使第i行j列的半导体集成电路70和第j行i列的天线71连接之后,使用使第(i+1)行j列的半导体集成电路72和第(j+1)行i列的天线连接的例子,而说明。
在使第i行j列的半导体集成电路70和第j行i列的天线71连接之后,通过使第一柔性衬底23以及第二柔性衬底25分别移动,来使第(i+1)行j列的半导体集成电路72和第(j+1)行i列的天线73相对。
为此,使半导体集成电路72在半导体集成电路70与天线71连接的区域中移动。因为半导体集成电路70、72的间隔为a,所以使第一柔性衬底23在箭头37的方向上移动a。
另一方面,使天线73的连接部75在天线71的连接部与半导体集成电路70连接的区域中移动。因为天线71和73的连接部的间隔为b,所以使第二柔性衬底25在箭头35的方向上移动b。
结果,可以使第(i+1)行j列的半导体集成电路72与第(j+1)行i列的天线73相对。
注意,在此,当第一柔性衬底23的移动速度为a,并且第二柔性衬底的移动速度为b时,可以连续地使天线和半导体集成电路连接。
下面,参照图14B而说明临时固定在第一柔性衬底23上的半导体集成电路的行和列、即天线的行和列方向形成的角度θ。在虚线76a上配置临时固定在第一柔性衬底23上的半导体集成电路的行,并且在虚线76c上配置列。此外,半导体集成电路的行和列形成角度θ。
在相同列中邻接的半导体集成电路70、72的图12A所示的行方向的间隔为a。此外,在相同行中邻接的半导体集成电路72、77的图12A所示的行方向的间隔为y。根据这两个距离,角度θ为arctan(y/a)。
然后,如图13B所示,通过键合单元使相对的第(i+1)行的半导体集成电路与第(j+1)行的天线压合,来使半导体集成电路与天线连接。
再者,也可以密封半导体集成电路以及天线地将第三柔性衬底与第二柔性衬底的表面粘在一起。结果,可以密封半导体集成电路以及天线。
然后,通过在适当的部分(例如,实线27)中切断第二柔性衬底、第三柔性衬底,可以制造具有半导体集成电路、天线、切断的第二柔性衬底、以及切断的第三柔性衬底的半导体装置。
通过本发明的半导体器件的制造装置、以及使用半导体器件的制造装置的制造方法,可以同时或连续地使多个天线中的一行与临时固定在第一柔性衬底上的多个半导体集成电路中的对应于该一行的多个半导体集成电路连接。由此,可以进行一次键合处理而制造多个半导体器件。此外,可以同步地进行推出第一柔性衬底和第二柔性衬底。因此,可以提高半导体器件的批量生产性。
实施例1
在本实施例中,参照图15A至图17B而说明能够无接触地传送数据的半导体器件的制造工序。
如图15A所示,在衬底1201上形成剥离层1202,在剥离层1202上形成绝缘层1203,在绝缘层1203上形成薄膜晶体管1204以及将构成薄膜晶体管的导电层绝缘的层间绝缘层1205,形成连接到薄膜晶体管的半导体层的源电极/漏电极1206。接着,形成覆盖薄膜晶体管1204、层间绝缘层1205、以及源电极/漏电极1206的绝缘层1207,而且形成通过绝缘层1207连接到源电极或漏电极1206的导电层1208。
作为衬底1201,使用玻璃衬底、石英衬底、在其表面上形成有绝缘层的金属衬底或不锈钢衬底、以及具有可耐本工序的处理温度的耐热性的塑料衬底等。对上述衬底1201的尺寸和形状没有限制,从而例如使用一边长为1m以上并为矩形的衬底作为衬底1201,可以显著地提高生产率。与使用圆形硅衬底的情况相比,这是很大的优点。
剥离层1202是通过溅射法、等离子体CVD法、涂布法、印刷法等由选自钨(W)、钼(Mo)、钛(Ti)、钽(Ta)、铌(Nb)、镍(Ni)、钴(Co)、锆(Zr)、锌(Zn)、钌(Ru)、铑(Rh)、钯(Pd)、锇(Os)、铱(Ir)以及硅(Si)中的元素;以上述元素为主要成分的合金材料;或以上述元素为主要成分的化合物材料构成的层的单层或叠层而形成的。含有硅的层的结晶结构可以为非晶、微晶、多晶中的任何一种。
在剥离层1202为单层结构的情况下,优选形成钨层、钼层或含有钨和钼的混合物的层。或者,形成含有钨的氧化物或其氧氮化物的层、含有钼的氧化物或其氧氮化物的层、或含有钨和钼的混合物的氧化物或其氧氮化物的层。注意,钨和钼的混合物例如相当于钨和钼的合金。
在剥离层1202为叠层结构的情况下,优选形成钨层、钼层或含有钨和钼的混合物的层作为第一层,并且形成含有钨、钼或钨和钼的混合物的氧化物、氮化物、氧氮化物、或者氮氧化物的层作为第二层。
在作为剥离层1202形成由含有钨的层和含有钨的氧化物的层构成的叠层结构的情况下,可以利用如下现象:通过形成含有钨的层并且在其上层形成由氧化物形成的绝缘层,而在钨层和绝缘层的界面形成含有钨的氧化物的层。而且,也可对于含有钨的层的表面进行热氧化处理、氧等离子体处理、N2O等离子体处理、使用强氧化溶液如臭氧水、含有氢的水等的处理等,来形成含有钨的氧化物的层。这相同于形成含有钨的氮化物、氧氮化物、以及氮氧化物的层的情况,优选在形成含有钨的层之后,在其上层形成氮化硅层、氧氮化硅层、氮氧化硅层。
以WOx表示钨的氧化物。x在2≤x≤3的范围内,例如有如下情况:x为2(WO2)、x为2.5(W2O5)、x为2.75(W4O11)、以及x为3(WO3)等。
此外,在上述工序中,虽然与衬底1201接触地形成剥离层1202,然而本发明不局限于该工序。还可以与衬底1201接触地形成成为基底的绝缘层,并且与该绝缘层接触地设置剥离层1202。
绝缘层1203通过溅射法、等离子体CVD法、涂布法、印刷法等使用无机化合物以单层或叠层形成。作为无机化合物的典型例子,可以举出硅氧化物或硅氮化物。
而且,也可使绝缘层1203为叠层结构。例如,也可使用无机化合物而层合,典型地层合氧化硅、氮氧化硅、以及氧氮化硅来形成绝缘层1203。
薄膜晶体管1204包括具有源区、漏区、以及沟道形成区的半导体层、栅极绝缘层、以及栅电极。
半导体层是使用具有晶体结构的半导体而形成的层,且可使用非单晶半导体或单晶半导体。特别地,优选适用通过加热处理而使它结晶化的结晶半导体、以及通过组合加热处理和激光束照射而使它结晶化的结晶半导体。在加热处理中,可以适用使用具有促进硅半导体的结晶化的作用的镍等金属元素的结晶化法。此外,通过在硅半导体的结晶化工序中的加热,可以在剥离层1202和绝缘层1203的界面,使剥离层的表面氧化,来形成金属氧化物层。
在通过除了加热处理之外,还照射激光束来进行结晶化的情况下,可以通过照射连续振荡激光束,或者,通过照射重复频率为10MHz以上且脉冲宽度为1纳秒以下,优选1至100微微秒的高重复频率超短脉冲光,来可以在使结晶半导体熔融的熔融带沿着该激光束的照射方向连续移动的同时进行结晶化。通过这种结晶化法,可以获得具有大粒径且晶粒界面沿着一个方向延伸的结晶半导体。通过使载流子的漂移方向与该晶粒界面延伸的方向一致,可以提高晶体管中的场效应迁移率。例如,可以实现400cm2/V·sec以上的场效应迁移率。
在采用玻璃衬底的耐热温度(大约600℃)以下的结晶化工序作为上述结晶化工序的情况下,可以使用大面积的玻璃衬底。因此,在每个衬底中可以制造大量半导体器件,从而可以实现低成本化。
此外,也可以玻璃衬底的耐热温度以上的温度加热而进行结晶化工序,来形成半导体层。典型地,使用石英衬底作为具有绝缘表面的衬底1201,并以700度以上的温度加热非晶或微晶半导体来形成半导体层。结果,可以形成高结晶性的半导体。因此,可以提供响应速度或迁移率等特性良好且能够进行高速工作的薄膜晶体管。
栅极绝缘层由氧化硅及氧氮化硅等无机绝缘物形成。
可以使用金属或添加有一种导电型的杂质的多晶半导体来形成栅电极。当使用金属时,可以使用钨(W)、钼(Mo)、钛(Ti)、钽(Ta)、铝(Al)等。并且,可以使用使上述金属氮化的金属氮化物。或者,也可以为层合由上述金属氮化物构成的第一层和由上述金属构成的第二层的结构。在采用叠层结构的情况下,也可将第一层的端部形成为比第二层的端部向外伸出的形状。此时,通过使用金属氮化物作为第一层,可以将它作为阻挡层金属。换言之,可以防止第二层的金属扩散到栅极绝缘层或其下层的半导体层中。
作为通过组合半导体层、栅极绝缘层、栅电极等而构成的薄膜晶体管,可以适用各种结构诸如单漏极结构、LDD(轻掺杂漏极)结构、栅极重叠漏极结构等。在此示出单漏极结构的薄膜晶体管。而且,可以适用相同电位的栅极电压被施加的晶体管串联连接的多栅结构;用栅电极夹着半导体层的上下的双栅极结构;以及在绝缘膜1203上形成有栅电极,且在栅电极上形成有栅极绝缘层、半导体层的反交错型薄膜晶体管等。
优选通过组合低电阻材料如铝(Al)和使用高熔点金属材料如钛(Ti)、钼(Mo)等的阻挡层金属来形成源电极及漏电极1206,例如有钛(Ti)和铝(Al)的叠层结构、钼(Mo)和铝(Al)的叠层结构等。
层间绝缘层1205及绝缘层1207通过使用聚酰亚胺、丙烯、或硅氧烷聚合物来形成。
而且,只要是能够用作开关元件而代替薄膜晶体管1204的半导体元件,就可设为任何结构。作为开关元件的典型例子,可以举出MIM(金属-绝缘体-金属;Metal-Insulator-Metal)、二极管等。
接着,如图15B所示,在导电层1208上形成导电层1211。在此,通过印刷法印刷含有金属粒子的组成物,以200度加热30分钟,焙烧组成物,来形成导电层1211。
接着,如图15C所示,形成覆盖绝缘层1207及导电层1211的端部的绝缘层1212。在此,在通过旋涂法涂敷环氧树脂,以160度加热30分钟后,去掉覆盖导电层1211的一部分绝缘层,来使导电层1211露出。在此,将绝缘层1203至绝缘层1212的层合体称为元件形成层1210。
接着,如图15D所示,为了容易进行之后的剥离工序,通过对绝缘层1203、1205、1207以及1212照射激光束1213,来形成如图15E所示的开口部1214。作为为了形成开口部1214而照射的激光束,优选为具有绝缘层1203、1205、1207以及1212所吸收的波长的激光束。典型地,适当地选择而照射紫外区域、可见区域、或红外区域的激光束。
作为能够振荡这种激光束的激光振荡器,可以使用如下的激光振荡器:KrF、ArF、XeCl等的受激准分子激光振荡器;He、He-Cd、Ar、He-Ne、HF、CO2等的气体激光振荡器;采用诸如掺有Cr、Nd、Er、Ho、Ce、Co、Ti或Tm的YAG、GdVO4、YVO4、YLF、YAlO3之类的晶体的结晶、玻璃、红宝石等的固体激光振荡器;GaN、GaAs、GaAlAs、InGaAsP等的半导体激光振荡器等。注意,优选在固体激光振荡器中适当地适用基波到第五高次谐波。结果,绝缘层1203、1205、1207以及1212吸收激光束而被熔融,而形成开口部。
注意,通过省略对绝缘层1203、1205、1207以及1212照射激光束的工序,可以提高生产率。
接着,使用粘合剂1215将支撑体1216贴合在绝缘层1212。
作为粘合剂1215,使用可以剥离的粘合剂,典型地可以使用通过紫外线进行剥离的紫外线剥离型粘合剂、通过热进行剥离的热剥离型粘合剂、水溶性粘合剂、以及双面粘合胶带等。在此,作为粘合剂1215使用热剥离型粘合剂。作为支撑体1216,可以适当地使用玻璃衬底、石英衬底、金属衬底、塑料衬底、柔性衬底(PET、PES、聚碳酸酯、由纤维材料构成的纸等)。在此,作为支撑体1216使用合成纸。
注意,粘合剂1215、支撑体1216、以及元件形成层1210之间的粘合强度设为比剥离层1202与绝缘层1203之间的紧密强度高。然后,只使包括绝缘层1203的元件形成层1210从衬底1201剥离。
接着,如图16A所示,在形成于剥离层1202和绝缘层1203的界面的金属氧化物层中,使用物理性方法剥离具有剥离层1202的衬底1201及元件形成层的一部分1221。物理性方法指的是力学方法或机械方法,就是使某种力学能量(机械能量)变化的办法。物理性方法典型的是施加机械性力量(例如,用人的手或夹握工具剥下的处理,或者以滚筒为支点使滚筒转动而进行分离的处理)。
如上所述的剥离工序的特征在于:形成不由于热处理而收缩的层、由于热处理而收缩的层、以及其中间的层;通过当剥离工序结束时或当进行剥离工序时进行热处理,来使中间层或其附近区域处于过压力状态;然后通过刺激中间层,在中间层或其附近区域进行剥离。
在本实施例中,不由于热处理而收缩的层为剥离层1202,由于热处理而收缩的层为绝缘层1203或绝缘层1212,不由于热处理而收缩的层和由于热处理而收缩的层的中间的层为形成在剥离层1202和绝缘层1203的界面的层。作为典型例子,当使用钨层作为剥离层1202,使用硅氧化物或硅氮化物作为绝缘层1203,使用环氧树脂作为绝缘层1212时,在进行诸如非晶硅膜的结晶化、杂质的激活、脱氢等的加热处理中,虽然剥离层1202不收缩,但是绝缘层1203以及绝缘层1212收缩,并且在剥离层1202和绝缘层1203的界面形成氧化钨层(WOx,2≤x≤3)。因为氧化钨层很脆弱,所以通过利用上述物理方法容易分离。结果,可以通过利用上述物理方法从衬底1201剥离元件形成层的一部分1221。
在本实施例中,使用如下方法:在剥离层和绝缘层之间形成金属氧化膜,并且在该金属氧化膜中使用物理方法剥离元件形成层1210,但是剥离方法不局限于此。还可以使用如下剥离方法:使用具有透光性的衬底作为衬底,使用含氢的非晶硅层作为剥离层,在图15E所示的工序之后,通过从衬底一侧照射激光束来使非晶硅层包含的氢汽化,而在衬底和剥离层之间进行剥离。
此外,还可以使用如下方法:在图15E所示的工序之后,机械地研磨而去掉衬底的方法;利用HF等使衬底溶解的溶液来去掉衬底的方法。在此情况下,也可以不使用剥离层。
此外,在图15E中,可以利用如下方法:在利用粘合剂1215将支撑体1216与绝缘层1212贴在一起之前,将氟化卤气体诸如NF3、BrF3、ClF3等引入于开口部1214,且用氟化卤气体来蚀刻并去掉剥离层。此后,利用粘合剂1215将支撑体1216与绝缘层1212贴在一起,而从衬底剥离元件形成层1210。
此外,在图15E中,还可利用如下方法:在利用粘合剂1215将支撑体1216与绝缘层1212贴在一起之前,将氟化卤气体诸如NF3、BrF3、ClF3等引入于开口部1214,且用氟化卤气体来蚀刻并去掉剥离层的一部分。此后,利用粘合剂1215将支撑体1216与绝缘层1212贴在一起,而通过物理性方法从衬底剥离元件形成层1210。
接着,如图16B所示,通过使用第二粘合剂1223将柔性衬底1222与元件形成层的一部分1221的绝缘层1203贴在一起。作为柔性衬底1222,适当地使用实施方式1所列举的衬底111。
作为将柔性衬底1222与绝缘层1203贴在一起的方法,有如下方法:使用粘合剂将柔性衬底1222贴合到绝缘层1203的方法;通过加热柔性衬底1222使柔性衬底1222的一部分熔融,然后使此冷却,来将柔性衬底1222与绝缘层1203贴在一起的方法。注意,绝缘层1203和柔性衬底1222之间的粘合强度设为比粘合剂1215、支撑体1216以及元件形成层1210之间的粘合强度高。在使用粘合剂将柔性衬底1222贴合到绝缘层1203的情况下,作为粘合剂的材料,适当地选择粘合力比粘合剂1215高的材料。接着,使用粘合剂1215从元件形成层的一部分1221剥离支撑体1216。
接着,如图16C所示,将柔性衬底1222与切割框(dicing frame)1232的UV胶带1231贴在一起。因为UV胶带1231具有粘合性,所以柔性衬底1222可被固定在UV胶带1231上。然后,也可以对导电层1211照射激光束来提高导电层1211和导电层1208之间的紧密性。
接着,在导电层1211上形成连接端子1233。通过形成连接端子1233,可以容易进行与之后用作天线的导电层的位置对准及粘合。
接着,如图16D所示,分割元件形成层的一部分1221、柔性衬底1222以及第二粘合剂1223。在此,如图16C所示,对元件形成层的一部分1221及柔性衬底1222照射激光束1234,而形成如图16D所示的沟槽1241,来将元件形成层的一部分1221分割为多个。作为激光束1234,可以适当地选择作为激光束1213而使用的激光束。在此,优选绝缘层1203、1205、1207、1212、以及柔性衬底1222都可吸收的激光束。注意,在此,虽然使用激光切割方法将元件形成层的一部分分割为多个,但是可以适当地使用切割方法、划线方法等而代替这种方法。注意,在使用纤维纸作为柔性衬底1222的情况下,优选当通过切割方法分割元件形成层时不使用水,而将气体吹到切断部来刮跑因切断而产生的垃圾。结果,可以防止元件形成层和纸剥离。另外,通过在将湿度高的气体吹到切断部的同时进行切割,可以防止在元件形成层中的静电。将由此被分割的元件形成层表示为半导体集成电路1242a、1242b。
接着,如图17A所示,在将扩张框1244与UV胶带1231贴在一起后,从UV胶带1231上摘下切割框1232。此时,可以通过利用扩张框1244使UV胶带1231延伸的同时,将半导体集成电路1242a和1242b贴在一起,来放大形成在半导体集成电路1242a和1242b之间的沟槽槽1241的宽度。
接着,对扩张框1244的UV胶带1231照射UV光,来使UV薄片的粘合力降低。接着,通过使用实施方式1所示的半导体器件的制造装置,将安装有半导体集成电路1242a、1242b的扩张框1244固定于支撑单元20。在此,作为支撑单元,使用夹持扩张框1244的机械手臂。接着,通过使用实施方式1所示的半导体器件的制造装置的图6B所示的倒装单元36,从UV胶带1231上将半导体集成电路1242a、1242b夹持到倒装单元的夹持部203(参照图17B)。
下面,如图18A所示,在使倒装单元36转动之后,将半导体集成电路1242a、1242b临时固定到第一柔性衬底206。在第一柔性衬底206的表面上设置有附着层,以可以将半导体集成电路1242a、1242b临时固定到第一柔性衬底206。
然后,如图18B所示,使倒装单元的夹持部203从半导体集成电路1242a、1242b脱离。
接着,使第一柔性衬底206的供给部、回收部等转动而推出第一柔性衬底,并且如图19A所示,使第一柔性衬底206上的半导体集成电路1242a、1242b和第二柔性衬底208上的天线209a、209b分别相对。就是说,使半导体集成电路1242a、1242b的连接端子1233a、1233b中间夹各向异性导电薄膜210与天线209a、209b相对地进行对准位置。注意,在天线209a、209b的表面上设置有各向异性导电薄膜210。此外,也可以涂敷有各向异性导电树脂而代替各向异性导电薄膜。
接着,通过使用键合单元274来使第一柔性衬底206与第二柔性衬底211压合,以使半导体集成电路1242a中间夹着连接端子1233a以及各向异性导电薄膜210所包括的导电粒子与天线209a连接。此外,使半导体集成电路1242b中间夹着连接端子1233b以及各向异性导电薄膜210所包括的导电粒子与天线209b连接。
此后,将键合单元274放回原处。此时,优选的是,各向异性导电薄膜210和半导体集成电路1242a、1242b的粘合力比第一柔性衬底206和半导体集成电路1242a、1242b的粘合力高。通过如此,可以使半导体集成电路1242a、1242b从第一柔性衬底206移动到第二柔性衬底208的同时,使半导体集成电路1242a、1242b和天线209a、209b连接。
接着,如图19B所示,在不形成天线209a、209b以及半导体集成电路1242a、1242b的区域中,分割第二柔性衬底208。作为分割方法,可以适当地使用激光切割方法、切割方法、划线方法等。在此,通过利用对各向异性导电薄膜210以及柔性衬底208照射激光束1251的激光切割方法,进行分割。
通过上述工序,可以制造能够无接触地传送数据的半导体装置1252a、1252b。
注意,还可以在图19A中在通过使用各向异性导电薄膜210将天线209a、209b和半导体集成电路1242a、1242b贴在一起后,密封第二柔性衬底208以及半导体集成电路1242a、1242b地设置第三柔性衬底,并且如图19B所示,在不形成天线209a、209b以及半导体集成电路1242a、1242b的区域中进行照射激光束1251,而形成如图19C所示的半导体器件1262。在此情况下,因为由分割的第二柔性衬底208a、以及分割的第三柔性衬底211a密封薄膜集成电路,所以可以抑制薄膜集成电路的退化。
通过上述工序,可以成品率高地制造薄且轻量的半导体器件。
实施例2
在本实施例中,参照图21而说明能够无接触地传送数据的半导体器件的结构。
本实施例的半导体器件大致由天线部2001、电源部2002、逻辑部2003构成。
天线部2001由用来进行接收外部信号并且发射数据的天线2011构成。此外,作为半导体器件的信号传输方式,可以使用电磁耦合方式、电磁感应方式、或者微波方式等。
电源部2002由整流电路2021、存储电容器2022以及恒压电路2023构成。该整流电路2021利用通过天线2011从外部接收的信号而制造电源,并该存储电容器2022保持制造出的电源,且该恒压电路2023制造供给于各电路的恒定电压。
逻辑部2003包括:解调接收的信号的解调电路2031;产生时钟信号的时钟生成-修正电路2032;识别及判定各种代码的电路2033;通过利用接收的信号而制造用来从存储器读取数据的信号的存储控制器2034;用来使编码化的信号作为接收信号的调制电路2035;使读取的数据编码化的编码电路2037;保持数据的存储器2038。注意,调制电路2035包括调制电阻2036。
作为存储器2038,适当地选择DRAM(动态随机存取存储器)、SRAM(静态随机存取存储器)、FERAM(铁电随机存取存储器)、掩模ROM(只读存储器)、EPROM(电可编程只读存储器)、EEPROM(电可擦可编程只读存储器)、快闪存储器、有机存储器等。在此,作为存储器2038,示出掩模ROM2039、由有机存储器构成的补写存储器2040。
各种代码识别以及判定电路2033所识别/判定的代码为帧结束信号(EOF,end of Frame)、帧起始信号(SOF,start of Frame)、标志、指令代码、掩模长度(mask length)、掩模值(mask value)等。此外,各种代码识别以及判定电路2033也包括用来识别发送错误的循环冗余码校验(CRC,cyclic redundancy check)功能。
实施例3
上述实施例所示的能够无接触地传送数据的半导体器件的用途广泛,例如,可以将该半导体器件提供在如下物品而使用:钞票、硬币、有价证券类、无记名债券类、证书类(驾驶执照、居民卡等,参照图22A)、包装用容器类(包装纸、瓶子等,参照22C)、记录媒质(DVD软件、录象磁带等,参照图22B)、交通工具类(自行车等,参照图22D)、随身物品(包、眼镜等)、食品类、植物类、动物类、人体、衣服、生活用品类、电子设备等的商品、行李签(参照图22E、图22F)等。电子设备指的是:液晶显示器件、EL显示器件、电视装置(也简单地称为电视、电视图像接收机)以及手机等。
通过将本实施例的半导体器件9210安装在印刷电路板,将它贴到表面上,或者将它嵌入等,而使它固定于物品。例如,当是书籍时,将它嵌入于纸中,并且当是由有机树脂构成的包装时,将它嵌入于该有机树脂中,而使它固定于各种物品。因为本实施例的半导体器件9210实现了小型、薄型、轻量,所以在固定于物品后,不会损害该物品本身的图案设计性。此外,通过将本实施例的半导体器件9210设置在钞票、硬币、有价证券类、无记名债券类、证书类等,可以设置认证功能,并且如果有效地利用该认证功能,则可以防止伪造。此外,通过将本实施例的半导体器件设置在包装用容器类、记录媒质、个人物品、食品类、衣服、生活用品类、电子设备等,可以谋求实现检查系统等系统的效率化。
本说明书根据2006年5月31日在日本专利局受理的日本专利申请编号2006-151506而制作,所述申请内容包括在本说明书中。

Claims (25)

1.  一种粘合方法,包括如下步骤:
以第一零部件中的第一个和相邻于所述第一零部件中的所述第一个的所述第一零部件中的第二个之间的在X方向上的第一间隔以及所述第一零部件中的第三个和相邻于所述第一零部件中的所述第三个的所述第一零部件中的第四个之间的在Y方向上的第二间隔在支撑单元上配置多个所述第一零部件;
在使所述第一零部件中的所述第一个和所述第一零部件中的所述第二个之间的在X方向上的所述第一间隔变化为第三间隔的同时以在Y方向上的所述第二间隔将所述多个第一零部件临时固定到第一柔性衬底;以及
在使所述第一零部件中的所述第三个和所述第一零部件中的所述第四个之间的在Y方向上的所述第二间隔变化为第四间隔的同时以在X方向上的所述第三间隔将所述多个第一零部件连接到第二柔性衬底上的多个第二零部件。
2.一种粘合方法,包括如下步骤:
在改变X方向上的配置间隔的同时使第一零部件临时固定到第一柔性衬底;以及
在改变Y方向上的配置间隔的同时使所述第一零部件连接到第二柔性衬底上的第二零部件。
3.根据权利要求2所述的粘合方法,其中所述X方向和所述Y方向形成的角度θ为0度以上且小于180度。
4.根据权利要求2所述的粘合方法,其中所述X方向和所述Y方向形成的角度θ为90度。
5.根据权利要求2所述的粘合方法,其中所述X方向和所述Y方向形成的角度θ为90度,并且所述第一柔性衬底和所述第二柔性衬底互相相对,而且所述第一柔性衬底和所述第二柔性衬底的长边形成的角度大于0度且小于90度或者大于90度且小于180度。
6.一种粘合分别具有不同配置密度的零部件的粘合方法,包括如下步骤:
在支撑单元上矩阵状地配置多个第一零部件并使间隔在X方向上成为x(x>0)且在Y方向上成为y(y>0);
在使所述X方向上的所述间隔从所述x改变到a的同时将所述多个第一零部件临时固定到第一柔性衬底;以及
在使所述Y方向上的所述间隔从所述y改变到b的同时将所述多个第一零部件连续连接到第二柔性衬底上的多个第二零部件。
7.根据权利要求6所述的粘合方法,其中所述X方向和所述Y方向形成的角度θ为0度以上且小于180度。
8.根据权利要求6所述的粘合方法,其中所述X方向和所述Y方向形成的角度θ为90度。
9.根据权利要求6所述的粘合方法,其中所述X方向和所述Y方向形成的角度θ为90度,并且所述第一柔性衬底和所述第二柔性衬底互相相对,而且所述第一柔性衬底和所述第二柔性衬底的长边形成的角度大于0度且小于90度或者大于90度且小于180度。
10.一种半导体器件的制造方法,包括如下步骤:
在支撑单元上矩阵状地配置多个半导体集成电路并使行间隔成为x(x>0)且列间隔成为y(y>0);
在不改变所述列间隔而使所述行间隔变成为a(a>x)的同时将所述多个半导体集成电路逐行地临时固定到第一柔性衬底;
在第二柔性衬底上矩阵状地配置多个天线并使列间隔成为所述a且行间隔成为b(b>y);
与所述第一柔性衬底上的所述多个半导体集成电路的行方向交叉地使所述第二柔性衬底在所述多个天线的行方向上移动;以及
使临时固定到所述第一柔性衬底的所述多个半导体集成电路中的每一个连接到所述多个天线中对应于其的一个。
11.根据权利要求10所述的半导体器件的制造方法,其中临时固定到所述第一柔性衬底的所述多个半导体集成电路中的各半导体集成电路同时连接到所述多个天线中的一行天线。
12.一种半导体器件的制造方法,包括如下步骤:
在支撑单元上矩阵状地配置多个半导体集成电路并使行间隔成为x(x>0)且列间隔成为y(y>0);
在不改变所述列间隔而使所述行间隔变成为a(a>x)的同时将所述多个半导体集成电路逐行地临时固定到第一柔性衬底;
在第二柔性衬底上矩阵状地配置多个天线并使列间隔成为所述a且行间隔成为b(b>y);
与所述多个天线的行方向交叉地使所述第二柔性衬底在所述多个天线的行方向上移动且使所述第一柔性衬底在所述多个半导体集成电路的行方向上移动;以及
将临时固定到所述第一柔性衬底的所述多个半导体集成电路中的每一个连接到所述多个天线中对应于其的一个。
13.根据权利要求12所述的半导体器件的制造方法,其中临时固定到所述第一柔性衬底的所述多个半导体集成电路中的各半导体集成电路同时连接到所述多个天线中的一行天线。
14.一种半导体器件的制造方法,包括如下步骤:
在支撑单元上以m(m为自然数)行n(n为自然数)列的矩阵状配置多个半导体集成电路并使行间隔成为x(x>0)且列间隔成为y(y>0);
在不改变所述列间隔而使所述行间隔变成为a(a>x)的同时将所述多个半导体集成电路逐行地临时固定到第一柔性衬底;
在第二柔性衬底上以p列的矩阵状配置多个天线并使列间隔成为所述a且行间隔成为b(b>y)并且在平行于行方向的直线上配置多个连接部;
使临时固定到所述第一柔性衬底的所述多个半导体集成电路中的第j(j为1以上且p以下的自然数)行的半导体集成电路连接到所述多个天线中的第j列的所述天线的连接部。
15.根据权利要求14所述的半导体器件的制造方法,其中临时固定到所述第一柔性衬底的所述多个半导体集成电路中的各半导体集成电路同时连接到所述多个天线中的一行天线。
16.一种半导体器件的制造方法,包括如下步骤:
在支撑单元上以m(m为自然数)行n(n为自然数)列的矩阵状配置多个半导体集成电路并使行间隔成为x(x>0)且列间隔成为y(y>0);
在不改变所述列间隔而使所述行间隔变成为a(a>x)的同时使所述多个半导体集成电路逐行地临时固定到第一柔性衬底;
在第二柔性衬底上以q行(q为自然数)p列的矩阵状配置多个天线并使列间隔成为所述a且行间隔成为b(b>y)并且在平行于行方向的直线上配置连接部;
使临时固定到所述第一柔性衬底的所述多个半导体集成电路中的第i(i为1以上且p以下的自然数)行第j(j为1以上且q以下的自然数)列的半导体集成电路连接到所述多个天线中的第j行第i列的天线的连接部;
连续使所述第二柔性衬底在所述多个天线的行方向上移动;
使临时固定到所述第一柔性衬底的所述多个半导体集成电路中的第i行第(j+1)列的半导体集成电路连接到所述多个天线中的第(j+1)行第i列的天线的所述连接部。
17.根据权利要求16所述的半导体器件的制造方法,其中临时固定到所述第一柔性衬底的所述多个半导体集成电路中的各半导体集成电路同时连接到所述多个天线中的一行天线。
18.一种粘合装置,包括:
将矩阵状地配置在支撑单元上的多个第一零部件逐行地临时固定到第一柔性衬底的拾取单元;
使矩阵状地配置有多个第二零部件的第二柔性衬底在所述多个第二零部件的行方向且与所述第一柔性衬底的移动方向呈角度θ的方向上移动的衬底传送单元;
使临时固定到所述第一柔性衬底的所述多个第一零部件中的每一个与所述多个第二零部件的连接部中对应于其的一个重叠地控制所述拾取单元以及所述衬底传送单元的控制单元;以及
使重叠于所述多个第一零部件的所述多个第二零部件连接到所述多个第一零部件的键合单元。
19.根据权利要求18所述的粘合装置,其中所述角度θ为90度。
20.一种粘合装置,包括:
将矩阵状地配置在支撑单元上的多个第一零部件逐行地临时固定到第一柔性衬底的拾取单元;
使所述第一柔性衬底在所述第一柔性衬底上的所述多个第一零部件的行方向上移动的第一传送单元;
使矩阵状地配置有多个第二零部件的第二柔性衬底在所述多个第二零部件的行方向且与所述第一柔性衬底的移动方向呈角度θ的方向上移动的第二传送单元;
使临时固定到所述第一柔性衬底的所述多个第一零部件中的每一个与所述多个第二零部件中的对应于其的一个重叠地控制所述拾取单元、所述第一传送单元以及所述第二传送单元的控制单元;以及
使重叠于所述多个第一零部件的所述多个第二零部件连接到所述多个第一零部件的键合单元。
21.根据权利要求20所述的粘合装置,其中所述角度θ为90度。
22.一种半导体器件的制造装置,包括:
将矩阵状地配置在支撑单元上的多个半导体集成电路逐行地临时固定到第一柔性衬底的拾取单元;
使矩阵状地配置有多个天线的第二柔性衬底在所述多个天线的行方向且与所述第一柔性衬底的移动方向呈角度θ的方向上移动的衬底传送单元;
使临时固定到所述第一柔性衬底的所述多个半导体集成电路中的每一个与所述多个天线的连接部中对应于其的一个重叠地控制所述拾取单元、所述衬底传送单元的控制单元;以及
使重叠于所述多个半导体集成电路的连接部的所述多个天线的连接部连接到所述多个半导体集成电路的连接部的键合单元。
23.根据权利要求22所述的半导体器件的制造装置,其中所述角度θ为90度。
24.一种半导体器件的制造装置,包括:
将矩阵状地配置在支撑单元上的多个半导体集成电路逐行地临时固定到第一柔性衬底的拾取单元;
使所述第一柔性衬底在所述第一柔性衬底上的所述多个半导体集成电路的行方向上移动的第一传送单元;
使矩阵状地配置有多个天线的第二柔性衬底在所述多个天线的行方向且与所述第一柔性衬底的移动方向呈角度θ的方向上移动的第二传送单元;
使临时固定到所述第一柔性衬底的所述多个半导体集成电路中的每一个重叠于所述多个天线的连接部中对应于其的一个地控制所述拾取单元、所述第一传送单元以及所述第二传送单元的控制单元;以及
使重叠于所述多个半导体集成电路的连接部的所述多个天线的连接部连接到所述多个半导体集成电路的连接部的键合单元。
25.根据权利要求24所述的半导体器件的制造装置,其中所述角度θ为90度。
CN200710108777XA 2006-05-31 2007-05-31 粘合方法以及粘合装置 Expired - Fee Related CN101083216B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006151506 2006-05-31
JP2006151506 2006-05-31
JP2006-151506 2006-05-31

Publications (2)

Publication Number Publication Date
CN101083216A true CN101083216A (zh) 2007-12-05
CN101083216B CN101083216B (zh) 2010-09-01

Family

ID=38790744

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710108777XA Expired - Fee Related CN101083216B (zh) 2006-05-31 2007-05-31 粘合方法以及粘合装置

Country Status (2)

Country Link
US (1) US7727809B2 (zh)
CN (1) CN101083216B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102169849A (zh) * 2009-12-25 2011-08-31 日东电工株式会社 芯片保持用胶带、其制造方法及使用它制造半导体装置的方法、及芯片状工件的保持方法
CN104010483A (zh) * 2013-02-22 2014-08-27 三星显示有限公司 部件安装装置及部件安装方法
CN108292590A (zh) * 2015-11-26 2018-07-17 日立化成株式会社 电子部件的制造方法、暂时固定用树脂组合物、暂时固定用树脂膜及暂时固定用树脂膜片材
CN108323240A (zh) * 2017-05-31 2018-07-24 歌尔股份有限公司 Mems麦克风及其制造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
DE102008016830A1 (de) * 2008-03-28 2009-10-15 Smartrac Ip B.V. Verfahren und Vorrichtung zur Applikation eines Chipmoduls
US8034663B2 (en) * 2008-09-24 2011-10-11 Eastman Kodak Company Low cost die release wafer
JP5319342B2 (ja) * 2009-03-17 2013-10-16 富士フイルム株式会社 ガスバリア膜の製造方法、太陽電池用ガスバリアフィルム、および、ディスプレイ用ガスバリアフィルム
GB2472047B (en) * 2009-07-22 2011-08-10 Novalia Ltd Packaging or mounting a component
US8278752B2 (en) * 2009-12-23 2012-10-02 Intel Corporation Microelectronic package and method for a compression-based mid-level interconnect
KR20120042151A (ko) * 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 플렉서블 디스플레이 장치의 제조 방법
TWI645578B (zh) 2012-07-05 2018-12-21 半導體能源研究所股份有限公司 發光裝置及發光裝置的製造方法
KR102173801B1 (ko) 2012-07-12 2020-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치, 및 표시 장치의 제작 방법
US9179556B2 (en) 2012-08-03 2015-11-03 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Preventing the formation of conductive anodic filaments in a printed circuit board
CN107658259B (zh) * 2017-10-27 2024-04-09 苏州精濑光电有限公司 一种柔性基板的吸附装置
DE102017129625B3 (de) * 2017-12-12 2019-05-23 Mühlbauer Gmbh & Co. Kg Verfahren und Vorrichtung zur Bestückung einer Antennenstruktur mit einem elektronischen Bauelement

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4267749B2 (ja) 1999-04-13 2009-05-27 パナソニック株式会社 部品実装方法
US6951596B2 (en) * 2002-01-18 2005-10-04 Avery Dennison Corporation RFID label technique
JP3803097B2 (ja) 2003-10-07 2006-08-02 株式会社日立製作所 無線通信媒体の製造方法
WO2006011665A1 (en) * 2004-07-30 2006-02-02 Semiconductor Energy Laboratory Co., Ltd. Laminating system, ic sheet, scroll of ic sheet, and method for manufacturing ic chip
US7364983B2 (en) * 2005-05-04 2008-04-29 Avery Dennison Corporation Method and apparatus for creating RFID devices

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102169849A (zh) * 2009-12-25 2011-08-31 日东电工株式会社 芯片保持用胶带、其制造方法及使用它制造半导体装置的方法、及芯片状工件的保持方法
CN102169849B (zh) * 2009-12-25 2014-05-28 日东电工株式会社 芯片保持用胶带、工件保持方法和半导体装置制造方法
CN104010483A (zh) * 2013-02-22 2014-08-27 三星显示有限公司 部件安装装置及部件安装方法
CN104010483B (zh) * 2013-02-22 2019-03-12 三星显示有限公司 部件安装装置及部件安装方法
CN108292590A (zh) * 2015-11-26 2018-07-17 日立化成株式会社 电子部件的制造方法、暂时固定用树脂组合物、暂时固定用树脂膜及暂时固定用树脂膜片材
CN108292590B (zh) * 2015-11-26 2022-06-07 昭和电工材料株式会社 电子部件的制造方法、暂时固定用树脂组合物、暂时固定用树脂膜及暂时固定用树脂膜片材
CN108323240A (zh) * 2017-05-31 2018-07-24 歌尔股份有限公司 Mems麦克风及其制造方法

Also Published As

Publication number Publication date
US7727809B2 (en) 2010-06-01
CN101083216B (zh) 2010-09-01
US20070281391A1 (en) 2007-12-06

Similar Documents

Publication Publication Date Title
CN101083216B (zh) 粘合方法以及粘合装置
CN101013674B (zh) 半导体器件的制造装置及半导体器件的制造方法
CN101266953B (zh) 半导体器件及其制造方法
CN101276767B (zh) 半导体装置的制造方法
KR20070080829A (ko) 반도체장치
CN101276743B (zh) 半导体装置的制造方法
EP1455394B1 (en) Transfer method
US8058146B2 (en) Peeling method
JP2007241999A (ja) 半導体装置
CN100576480C (zh) 半导体器件的制造方法
JP2008141167A (ja) 導電層及び導電層を有する基板の形成方法、並びに半導体装置の作製方法
CN101233531B (zh) 半导体装置的制造方法
JP4731919B2 (ja) フィルム状物品
JP5298216B2 (ja) 半導体装置
JP5108381B2 (ja) 貼りあわせ方法、貼りあわせ装置、半導体装置の作製方法及び半導体装置の製造装置
JP2007152939A (ja) 機能性を有する層、及びそれを有する可撓性基板の形成方法、並びに半導体装置の作製方法
JP4912900B2 (ja) 半導体装置の作製方法
JP4749102B2 (ja) 半導体装置の作製方法
JP4845623B2 (ja) 半導体装置の作製方法
JP2007122690A (ja) 半導体装置
JP2010027818A (ja) 配線基板及びその作製方法、並びに、半導体装置及びその作製方法
JP2007318114A (ja) 半導体装置及び半導体装置の作製方法、並びに当該半導体装置を有する電子機器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100901

CF01 Termination of patent right due to non-payment of annual fee