CN100487871C - Etching method - Google Patents

Etching method Download PDF

Info

Publication number
CN100487871C
CN100487871C CNB2006100648170A CN200610064817A CN100487871C CN 100487871 C CN100487871 C CN 100487871C CN B2006100648170 A CNB2006100648170 A CN B2006100648170A CN 200610064817 A CN200610064817 A CN 200610064817A CN 100487871 C CN100487871 C CN 100487871C
Authority
CN
China
Prior art keywords
gas
central area
neighboring area
supply part
gas supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006100648170A
Other languages
Chinese (zh)
Other versions
CN1838387A (en
Inventor
田原慈
西野雅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1838387A publication Critical patent/CN1838387A/en
Application granted granted Critical
Publication of CN100487871C publication Critical patent/CN100487871C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits

Abstract

The invention relates to a method for improving the uniformity of etches treatment on the base plate, when using the gas with carbon and halide atom to etch, wherein said method comprises: the gas supplier (4) feeds the first processing gas that contains carbon and fluorine while one molecule has not than 2 carbon atoms to the chip (W), to etch, and the amount of first gas room (45) is higher than the amount of second gas room (46); in addition, feeding second processing gas to etch, while one molecule has more than 3 carbon atoms, while the amount of second gas room (46) is higher than the amount of first gas room (450; said gas supplier (4) can feed processing gases to the chip (W) from the first gas room (45) opposite to the central area of semi-conductor chip (W) and the second gas room (46) opposite to the periphery of chip (W).

Description

Engraving method
Technical field
The present invention relates to use the gas that contains carbon and halogen, the etched film that is formed on the substrate such as semiconductor wafer is for example carried out etched technology.
Background technology
In the manufacture process of semiconductor device or LCD substrate, comprise the etching work procedure that carries out film shape processing, as the device that carries out this operation, used device miscellaneous.As one of them example, the plasma-etching apparatus that parallel plate-type is for example arranged, in this device, for example in chamber, dispose the parallel plate electrode of forming by a pair of upper electrode and lower electrode, will handle the gas introduction chamber indoor in, on one electrode, apply high frequency, between electrode, form high-frequency electric field, form the plasma of handling gas by this high-frequency electric field, for example semiconductor wafer W (below be called " wafer W ") is carried out etch processes.
At this, for example in semiconductor device, be studied or practical film having low dielectric constant (so-called Low-k film) as interlayer dielectric or gate insulating film etc., have that to contain silicon (Si) and oxygen (O) be substrate, the SiOC film that adds carbon with silicon oxide layer (SiO film), and the SiOCH film of interpolation carbon and hydrogen etc., and such film is being carried out under the etched situation, used the gas that contains for example carbon (C) and fluorine (F), chlorine (Cl), bromine halogens such as (Br) as handling gas.
In etching, carry out the etching action of etched hole (recess) and on the sidewall of above-mentioned hole, form polymer and protect the polymer of this sidewall to turn into carrying out simultaneously by etchant.For example contain the gas (below be called " CF class gas ") of carbon and fluorine as handling gas in use, be etched with SiO and be under the situation of film of substrate, the CF spike of CF class gas because of being generated by plasmaization plays a part etching action and polymer and turns into and use two aspects.
At this example, can enumerate CF as above-mentioned CF class gas 4Gas, CHF 3Gas, C 2F 6Gas, C 3F 8Gas, C 4F 8Gas, C 4F 6Gas, C 5F 8Gas etc., wherein all be that big gas of etching action or polymer turn into big gas, even the same film of etched object film, according to the variation of Film Thickness Ratio of counterdie or resist film etc., can from above-mentioned CF class gas, select optimal gas species.
In example as has been described in the parallel plate-type plasma-etching apparatus, in order to improve the uniformity of etching characteristic in wafer face of processing dimension etc. after etching speed or the etching, from the upper electrode that constitutes in sprinkler head type, supply with processing gas to the central area and the neighboring area variable flow ground of for example wafer W with a plurality of gas squit holes.
But, all gases for above-mentioned CF class gas, also have no idea to determine the unified flow-rate ratio supplied with to central area and neighboring area, in order to carry out the high etch processes of above-mentioned inner evenness, before the supply flow rate ratio of above-mentioned central area of decision and neighboring area, exist tentative mistake to be inevitable, determine the condition of this flow-rate ratio to need formality and time.
Therefore in patent documentation 1, narrated with containing C 5F 8The mist of gas carries out the etch processes of resist and TEOS, import the mist of different flow ratio from two gas discharge openings that form concentrically ringed spray head, by reducing the oxygen flow of the gas only import the neighboring area, select reduction technology than (TEOS/ resist) with the etching speed that improves the neighboring area.But, in the technology of the document 1, when carrying out etch processes with above-mentioned CF class gas, for the method for the unified flow-rate ratio of decision wafer W central area flow and neighboring area flow without any record.
[patent documentation 1] Japan Patent spy opens the 2002-184764 communique
Summary of the invention
In view of the aforesaid fact, when the purpose of this invention is to provide a kind of gas that contains carbon and halogen in use and carrying out etching, can improve the uniformity of etch processes in real estate.
Thus, the present invention relates to a kind of engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is contained the processing gas that carbon number 1 molecule is first gas of carbon below 2 and halogen to substrate independently, etched film to substrate carries out etching, it is characterized in that:
With gas supply range first gas delivery volume in the unit interval on unit are of above-mentioned gas supply unit, in the mode of central area, supply with processing gas from this gas supply part more than the neighboring area, the etched film to substrate carries out etching simultaneously.
In addition, the present invention relates to a kind of engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is contained the processing gas that carbon number 1 molecule is second gas of carbon more than 3 and halogen to substrate independently, etched film to substrate carries out etching, it is characterized in that:
With gas supply range second gas delivery volume in the unit interval on unit are of above-mentioned gas supply unit, in the mode of neighboring area, supply with processing gas from this gas supply part more than the central area, the etched film to substrate carries out etching simultaneously.
Utilization can be from the central area relative and the neighboring area relative with the substrate periphery zone with substrate center zone independently to substrate supply with the gas supply part of handling gas and contain carbon number 1 molecule be carbon below 2 and halogen first gas processing gas and contain the processing gas that carbon number in 1 molecule is second gas of carbon more than 3 and halogen, etched film to substrate carries out etching, it is characterized in that:
In the central area and neighboring area of gas supply part, second gas is identical with the mixing ratio of first gas,
During halogen atom sum that the halogen atom sum of supplying with when above-mentioned first gas is supplied with more than second gas, with the gas supply range of the described gas supply part quantity delivered of mist in the unit interval on unit are, in the mode of central area more than the neighboring area, supply with processing gas from this gas supply part
When the halogen atom sum of above-mentioned first gas supply is less than the halogen atom sum of second gas supply, with the gas supply range of the above-mentioned gas supply unit quantity delivered of mist in the unit interval on unit are, in the mode of neighboring area more than the central area, supply with processing gas from this gas supply part, the etched film to substrate carries out etch processes simultaneously.
In this mist quantity delivered with first gas and second gas, with the central area more than neighboring area or neighboring area mode more than the central area, supplying with the operation of handling gas from gas supply part, is that at least one side carries out in the processing gas dilution rate of handling gas flow and diluent gas by regulating.
In addition, the present invention relates to a kind of engraving method, utilization can be from the central area relative and the neighboring area relative with the substrate periphery zone with substrate center zone independently to substrate supply with the gas supply part of handling gas and contain carbon number 1 molecule be carbon below 2 and halogen first gas processing gas and contain the processing gas that carbon number in 1 molecule is second gas of carbon more than 3 and halogen, etched film to substrate carries out etching, it is characterized in that:
To the central area of gas supply part, supply with what first mixing ratio mixed that first gas and second gas obtains and first handle gas,
To the neighboring area of gas supply part, supply with what second mixing ratio mixed that first gas and second gas obtains and second handle gas,
During halogen atom sum that the halogen atom sum of supplying with when above-mentioned first gas is supplied with more than second gas, with the gas supply range of the described gas supply part quantity delivered of mist in the unit interval on unit are, handle the mode of gas delivery volume with described first more than the described second processing gas delivery volume, from this gas supply part supply gas
When the halogen atom sum of above-mentioned first gas supply is less than the halogen atom sum of second gas supply, with the gas supply range of the above-mentioned gas supply unit quantity delivered of mist in the unit interval on unit are, handle the mode that gas delivery volume is less than the above-mentioned second processing gas delivery volume with above-mentioned first, from this gas supply part supply gas, the etched film to substrate carries out etching simultaneously.
The present invention relates to a kind of engraving method, utilization can be from the central area relative and the neighboring area relative with the substrate periphery zone with substrate center zone independently to substrate supply with the gas supply part of handling gas and contain carbon number 1 molecule be carbon below 2 and halogen first gas processing gas and contain the processing gas that carbon number in 1 molecule is second gas of carbon more than 3 and halogen, etched film to substrate carries out etching, it is characterized in that:
With gas supply range first gas delivery volume in the unit interval on unit are of above-mentioned gas supply unit, in the mode of central area, supply with processing gas from this gas supply part more than the neighboring area,
With gas supply range second gas delivery volume in the unit interval on unit are of above-mentioned gas supply unit, in the mode of neighboring area, supply with processing gas from this gas supply part more than the central area, the etched film to substrate carries out etching simultaneously.
A kind of engraving method, utilization can be from the central area relative and the neighboring area relative with the substrate periphery zone with substrate center zone independently to substrate supply with the gas supply part of handling gas and contain carbon number 1 molecule be carbon below 2 and halogen first gas processing gas and contain the processing gas that carbon number in 1 molecule is second gas of carbon more than 3 and halogen, etched film to substrate carries out etching, it is characterized in that:
When the gas supply part central area is identical with first gas delivery volume in the neighboring area, gas supply range second gas delivery volume in the unit interval on unit are with the above-mentioned gas supply unit, in the mode of neighboring area, supply with processing gas from this gas supply part more than the central area
When the gas supply part central area is identical with second gas delivery volume in the neighboring area, gas supply range first gas delivery volume in the unit interval on unit are with the above-mentioned gas supply unit, in the mode of central area more than the neighboring area, supply with processing gas from this gas supply part, the etched film to substrate carries out etching simultaneously.
At this, above-mentioned first gas delivery volume in the mode of central area more than the neighboring area, is supplied with the operation of handling gas from gas supply part, be to be undertaken by at least one side in the first gas dilution rate of regulating first gas flow and diluent gas.In addition, above-mentioned second gas delivery volume in the mode of neighboring area more than the central area, being supplied with the operation of handling gas from gas supply part, is to be undertaken by at least one side in the second gas dilution rate of regulating second gas flow and diluent gas.
In addition, the present invention relates to a kind of engraving method, a kind of engraving method, utilization can be from the central area relative and the neighboring area relative with the substrate periphery zone with substrate center zone independently to substrate supply with the gas supply part of handling gas and contain carbon number 1 molecule be carbon below 2 and halogen first gas processing gas and contain the processing gas that carbon number in 1 molecule is second gas of carbon more than 3 and halogen, etched film to substrate carries out etching, it is characterized in that:
During halogen atom sum that the halogen atom sum of supplying with when above-mentioned first gas is supplied with more than second gas, with the gas supply range of described gas supply part halogen atom sum in the unit interval on unit are, in the mode of central area more than the neighboring area, setting is from the composition and the amount of the processing gas of this gas supply part supply
When the halogen atom sum of above-mentioned first gas supply is less than the halogen atom sum of second gas supply, with the gas supply range of above-mentioned gas supply unit halogen atom sum in the unit interval on unit are, in the mode of neighboring area, set from the composition and the amount of the processing gas of this gas supply part supply more than the central area.
As above-mentioned first gas, can use CH at least 2F 2Gas, CHF 3Gas, CF 4Gas, C 2F 6In the gas any as above-mentioned second gas, can use C at least 3F 8Gas, C 4F 8Gas, C 4F 6Gas, C 5F 8In the gas any.
Such engraving method is implemented in the etch processes device, and this device has: the container handling that is provided with the mounting table of mounting substrate in inside; Be oppositely arranged with above-mentioned mounting table in above-mentioned container handling inside, on the face relative, have the gas supply range, be used for from the central area relative and the neighboring area relative, supply with the gas supply part of the processing gas that contains carbon and halogen to being positioned in substrate on this mounting table independently with the substrate periphery zone with the substrate center zone with above-mentioned mounting table; Be used to regulate the device of above-mentioned container handling internal pressure; Be used at the inner device that produces plasma of above-mentioned container handling; Be used for regulating the device of the processing gas flow of supplying with at the above-mentioned gas supply unit; With control part of above-mentioned each device of control, this Etaching device is above-mentioned processing gas plasmaization, and by this plasma the etched film of substrate carried out etching.
Because among superincumbent the present invention, when the processing gas that use contains carbon and halogen carries out etching to the etched film of substrate, control according to the above-mentioned carbon number that contains the gas of carbon and halogen, make this gas that contains carbon and halogen to the quantity delivered of the gas supply range central area of gas supply part more than the neighboring area, perhaps to the quantity delivered of neighboring area more than the central area, therefore can guarantee the excellent homogeneity of etching characteristics such as machining accuracy in face after etching speed and the etching.
Description of drawings
Fig. 1 is the plane graph of expression plasma-etching apparatus execution mode of the present invention.
Fig. 2 is the structure chart of the gas supply system of the above-mentioned plasma-etching apparatus of expression.
Fig. 3 is the structure chart of above-mentioned another example of plasma-etching apparatus gas supply system of expression.
Fig. 4 is the performance plot of the inner evenness analog result of expression gas flow rate.
Fig. 5 is the performance plot of the inner evenness analog result of expression pressure.
Fig. 6 is the performance plot of the inner evenness of expression film forming speed.
Fig. 7 is CF density, CF among the expression embodiment 1 2The performance plot of the inner evenness of density.
Fig. 8 is the performance plot of the inner evenness of the residual film of resist, etch depth, top CD, bending position among the expression embodiment 2.
Fig. 9 is the performance plot of inner evenness of the absolute value of the inner evenness of absolute value of expression embodiment 3 middle and upper part CD difference and etch depth difference.
Figure 10 is the performance plot of the inner evenness of the residual film of resist, top CD, bottom CD, recess (Recess) among the expression embodiment 4.
Figure 11 is the performance plot of the inner evenness of inclination angle [theta] among the expression embodiment 5.
Figure 12 is the performance plot of the inner evenness of the poor absolute value of expression embodiment 6 middle and upper part CD.
Figure 13 is the performance plot of the inner evenness of expression embodiment 7 middle and upper part CD, bottom CD.
Figure 14 is the performance plot that CD moves the inner evenness of (shift) value among the expression embodiment 8.
Figure 15 is the performance plot of etching speed, resist selectivity, the residual film of resist, the inhomogeneity inner evenness of the degree of depth among the expression embodiment 9.
Figure 16 is the performance plot of the inner evenness of CD movement value among the expression embodiment 10.
Figure 17 is the performance plot of the inner evenness of CD among the expression embodiment 11.
Figure 18 is the performance plot of the inner evenness of etching speed among the expression embodiment 12.
The explanation of symbol
W semiconductor wafer 1 chamber
2 mounting tables, 3 electrostatic chucks
4 upper electrodes, 45 first gases import the chamber
46 second gases import chamber 51 first gas introduction channels
52 second gas introduction channels, 54,61,64 first gas supply sources
55,62,65 second gas supply source 6 control parts
F1~F11 flow control division
Embodiment
At first implement an example of the plasma-etching apparatus of engraving method of the present invention with reference to Fig. 1 simple declaration.Among the figure 1 is for example to constitute the chamber as container handling cylindraceous, and this chamber 1 is to have carried out pellumina (alumite) by for example surface to handle the aluminium of (anodized) and make, and is grounded.Bottom in this chamber 1, be provided be used for the mounting substrate for example semiconductor wafer (below be called " wafer "), constitute the roughly cylindrical mounting table 2 of lower electrode.21 is insulation boards of pottery etc. among the figure, the 22nd, and the brace table of mounting table is connected with high pass filter (HPF) 23 on above-mentioned mounting table 2.24 is cryogen chamber among the figure, for example supplies with cold-producing mediums such as liquid nitrogen in this circulation, derives the heat in the mounting table 2.
Above-mentioned mounting table 2, top central portion is made into the discoideus of projection, be provided with the electrostatic chuck 3 with the roughly the same shape of wafer W thereon,, make wafer W to be formed Electrostatic Absorption by for example Coulomb force by on electrode 31, applying for example direct voltage of 1.5kV by DC power supply 32.33 is to be used for supplying with for example gas passage of helium (He) gas etc. of heat transfer medium to the bottom surface that is supported on the wafer W on the mounting table 2 among the figure, and cold and hot in the mounting table 2 is delivered to wafer W by this heat transfer medium, wafer W maintained the temperature of regulation.Among the figure 25 be set to surround the wafer W that is placed on the electrostatic chuck 3, by the ring-type focusing ring that conductive materials such as for example silicon constitute, utilize it can improve etched uniformity.
Above above-mentioned mounting table 2, be provided with formation and these mounting table 2 parallel relative gas supply parts 4 that for example slightly are upper electrode cylindraceous.This gas supply part 4 also constitutes the battery lead plate 42 with a plurality of holes 41 that spue and supports the water-cooling structure electrode support 43 that the aluminium by for example surperficial oxidized aluminium film processing of conductive material of this battery lead plate 42 constitutes when constituting the face relative with mounting table 2.
Above-mentioned electrode support 43 forms gas in inside and imports the chamber, and this gas imports the chamber and is divided into first gas compartment 45 relative with the central area of inboard wafer W and second gas compartment 46 relative with the neighboring area of outside wafer W by for example space bar 44 of ring-type.So constitute battery lead plate 42 below above-mentioned first gas compartment 45 and second gas compartment 46, this battery lead plate has the hole 41 that spues that becomes the gas supply range.
Then as shown in FIG. 2, first gas compartment 45 connects common treating-gas supply system 53, the second gas compartments 46 by first gas passage 51 with flow control division F1 and connects common treating-gas supply system 53 by second gas passage 52 with flow control division F2.47 is insulating material among the figure, the 48th, and the high frequency absorption parts, the 49th, be used for gas supply part 4 is supported on insulating material in the chamber 2.Distance between mounting table 2 and the gas supply part 4 is made as for example about 10~60mm.
At this, etched object film is the film having low dielectric constant of having narrated (so-called Low-k film), for example SiOC film, SiOCH film, SiO 2Film, SiOF film, contain the SiO of Si-H 2Film, HydrogensSlises-Quioxane (HSQ) film, porous silicon oxide film, contain the SiO of methyl 2Film, MethlSlises-Quioxane (MSQ) film, porous matter msq film etc. contain the gas of the gas of carbon and for example halogen atoms such as fluorine, bromine, chlorine as main etching gas as above-mentioned processing gas use.When enumerating when containing carbon and fluorine, CF is arranged at this as the example of the CF class gas of main etching gas 4Gas, CHF 3Gas, C 2H 6Carbon numbers such as gas are first gas or the C below 2 3F 8Gas, C 4F 6Gas, C 4F 8Gas, C 5H 8Carbon numbers such as gas are second gas more than 3.And as handling gas, also can use above-mentioned CF class gas, with rare gas and N 2Gas, H 2Gas, O 2Gas, CO gas, CO 2Gas etc. are the mist that mixes mutually of the diluent gas of halogen atom-containing not, also can be the combination of multiple CF class gas.
Above-mentioned treating-gas supply system 53, for example have the above-mentioned first gas supply source 54, the above-mentioned second gas supply source 55 and above-mentioned diluent gas supply source 56, they connect the first and second gas introduction channels 51,52 by having the feed path 57 of the F3~F5 of portion of flow control separately.Above-mentioned flow control division F1~F5 regulates the device of handling gas delivery volume, have valve and mass flow controller, by control part 6 its actions of control, first gas, second gas and diluent gas with the regulation flow is hybridly prepared into processing gas thus, and this mixed processing gas imports chamber 45 with the regulation flow by first gas respectively and second gas imports chamber 46 supplies.
In the bottom of above-mentioned chamber 1, connecting turbomolecular pump equal vacuum pump 12 by blast pipe 11, as the device that is used for regulating chamber 1 internal pressure, making thus becomes the decompression of regulation atmosphere in the chamber 1, for example is evacuated down to the following authorized pressure of 1Pa.On the sidewall of chamber 1, be provided with gate valve 13, under the state that this gate valve 13 is opened, wafer W and adjacent load locking room (not shown) between carry.
As the gas supply part 4 of above-mentioned upper electrode, by adaptation 62 be connected first high frequency electric source 61 that constitutes plasma producing apparatus for torch 63 in, also connecting low pass filter (LPF) 64.This first high frequency electric source 61 has the above frequency of 27MHz, by applying so high frequency, can make to be formed under the preferred disassociation state in the chamber 1 and highdensity plasma, makes it possible to carry out under the low pressure condition plasma treatment.This example, the power supply that uses 60MHz is as first high frequency electric source 61.
Across adaptation 66 by the mounting table 2 that to electric wire second high frequency electric source 65 is connected as lower electrode on.This second high frequency electric source 65 has the frequency in 100kHz~10MHz scope, by applying the frequency in this scope, can the wafer W as substrate not caused damage, and can give its suitable ionization.In this example, use the high frequency electric source of 2MHz.
The engraving method of the present invention that carries out in this plasma Etaching device then is described.The time spent of doing of plasma-etching apparatus at first is described, open gate valve 13, the load locking room that will not show from figure as the wafer W of substrate is sent in the chamber 1, and mounting is to electrostatic chuck 3, apply direct voltage from high-voltage DC power supply 32, with the wafer W Electrostatic Absorption on electrostatic chuck 3.Closing gate valve 13 then, will be evacuated down to the specified vacuum degree in the chamber 1 with vacuum pump 12.
Then, from treating-gas supply system 53, to regulate the processing gas of quantity delivered by flow control division F1, F2, and handle gas introduction channel 51 and second through first and handle in first gas compartment 45 and second gas compartment 46 of gas introduction channel 52 importing gas supply parts 4.So will handle gas when first gas compartment 45 supplies to the central area of wafer W, supply to the neighboring area of wafer W, the pressure in the chamber 1 maintained setting from second gas compartment 46.
Then, from first high frequency electric source 61 apply more than the 27MHz at gas supply part 4, the high frequency voltage of for example 60MHz.Produce high-frequency electric field thus between gas supply part 4 and mounting table 2, make and handle gaseous dissociation, plasmaization, plasma is implemented etch processes to wafer W thus.
In addition, apply the high frequency voltage of 100kHz~10MHz, for example 2MHz to mounting table 2 from second high frequency electric source 65.Thus the ion in the plasma is guided into mounting table 2 sides, by the etched anisotropy of the auxiliary raising of ion.To so carrying out the wafer W of regulation etch processes, remove the Electrostatic Absorption that causes by electrostatic chuck 3, open gate valve 13, it is taken out from chamber 1 deliver to the outside, be transported in the subsequent processing.
At this, in engraving method of the present invention, for example SiOC film of with the SiO film being substrate etc. is being carried out under the etched situation as main etching gas to contain CF class gas, difference according to the carbon number of above-mentioned CF class gas, controlling this CF class gas makes central area in wafer W more than the neighboring area, perhaps control makes the neighboring area more than the central area, describes with regard to this point below.
At first illustrated before supplying with to plasma-etching apparatus, the situation that CF class gas and above-mentioned diluent gas are mixed in advance, but because in the case, the composition of the processing gas of supplying with in device is identical, so from handling gas supply part 4, handle CF class gas in the gas to the quantity delivered of above-mentioned central area with to the control of the quantity delivered of neighboring area to the supply flow rate of first gas compartment 45 with to the supply flow rate of second gas compartment 46 by control.
Specifically be, at first when explanation CF class gas is a kind of situation, when using carbon number is that first gas below 2 is during as main etching gas, gas supply range first gas delivery volume in the unit interval on unit are with gas supply part 4, in the mode of central area, supply with processing gas from gas supply part 4 more than the neighboring area.
In other words by flow control division F3, F5 with the Flow-rate adjustment of first gas and diluent gas to the regulation flow, the processing gas that obtains mixing first gas and diluent gas with the regulation mixing ratio is regulated.Then by flow control division F1, F2, supplying with the body quantity delivered of regulating the flow of vital energy to first gas compartment 45 more than the mode of the processing gas delivery volume of supplying with to second gas compartment 46, the processing gas that imports separately from first gas passage 51 and second gas passage 52 with the regulation flow.Thus, first gas of supplying with to above-mentioned gas supply range central area is more than first gas of supplying with to the neighboring area.
At this, so-called in the present invention first gas delivery volume, mean gas supply range quantity delivered in the unit interval on unit are, so-called first gas of supplying with to above-mentioned gas supply range central area is more than what supply with to the neighboring area, and the molal quantity of first gas that means the zone, backbone is more than the molal quantity of first gas of supply neighboring area.
When using carbon number is that second gas more than 3 is during as main etching gas, gas supply range second gas delivery volume in the unit interval on unit are with gas supply part 4, in the mode of neighboring area, supply with processing gas from gas supply part 4 more than the central area.
In other words by flow control division F4, F5 with the Flow-rate adjustment of second gas and diluent gas to the regulation flow, the processing gas that obtains mixing second gas and diluent gas with the regulation mixing ratio is regulated.Then by flow control division F1, F2, in the processing gas delivery volume supplied with to second gas compartment 46 mode more than the processing gas delivery volume of supplying with to first gas compartment 45, the processing gas that imports separately from first gas passage 51 and second gas passage 52 with the regulation flow.So, second gas of supplying with to above-mentioned gas supply range neighboring area is more than second gas of supplying with to the central area.
At this, the central area of so-called above-mentioned gas supply range, it is the gas supply range of first gas compartment 45, refer to wafer W radius 7/10 (1/2 square root) about relative zone, and the neighboring area of so-called above-mentioned gas supply range, be the gas supply range of second gas compartment 46, refer to the corresponding zone of exterior lateral area, above-mentioned central area of wafer W.At this, the area of zone, design centre and neighboring area is roughly the same.Therefore in above-mentioned plasma-etching apparatus, the gas supply range and the wafer W of gas supply part 4 are relative, supply to the wafer W central area with handling first gas compartment 45 of gas, supply to the wafer W neighboring area from second gas compartment 46 from gas supply part 4.Thus, when first gas delivery volume that supplies to above-mentioned gas supply range central area when supplying to first gas delivery volume of neighboring area, on wafer surface, first gas delivery volume in the central area more than the neighboring area, and when second gas delivery volume that supplies to above-mentioned gas supply range neighboring area when supplying to second gas delivery volume of central area, on wafer surface, second gas delivery volume in the neighboring area more than the central area.
In the above-mentioned plasma-etching apparatus in the case, the number in the number in the hole that spues that forms below first gas compartment 45 (hole of supplying with to the wafer W central area that spues) 41 and the hole that spues that forms below second gas compartment 46 (hole of supplying with to the wafer W neighboring area that spues) 41 is the same, when being set at 5:5, the gas flow ratio of the central area/neighboring area of gas supply range comes a minute timing, setting is identical from the gas flow that the hole 41 that all spues spues, 41 number is different with the number in the hole 41 that spues that forms below second gas compartment 46 and the leaf that forms below above-mentioned first gas compartment 45 portals, spue hole 41 and the spuing between the hole 41 of below above-mentioned second gas compartment 46, forming that perhaps below above-mentioned first gas compartment 45, form, the spue different situation of admittance (conductance) in hole 41 of arrival is necessary to regulate in view of the above.
For example, the ratio of the number in the hole 41 that spues of the number in the hole 41 that spues that forms below first gas compartment 45 and the above-mentioned neighboring area of supply that forms below second gas compartment 46 is under the situation of 2:1, when flow: when the flow-rate ratio of the flow=1:2 that supplies with to the neighboring area is supplied with and handled gas to supply with to the central area, in above-mentioned plasma-etching apparatus, and the supply flow rate to the central area: situation about supplying with to the distribution ratio of the supply flow rate=5:5 of neighboring area is same.Thereby for example with C 4F 8Under the situation of gas as main processing gas, the processing gas distribution ratio to the neighboring area is getting final product more than 2/3.
The following describes CF class gas is two or more situations.Under the situation that for example is used in combination above-mentioned first gas and second gas, by flow control division F3~F5, the processing gas that obtains mixing first gas, second gas and diluent gas with the regulation mixing ratio is regulated.Calculate the halogen atom sum that is imported by first gas and second gas then, the CF class gases many by the halogen atom sum decide flow.This is because the halogen atom number is arranged etching efficiency, the gas domination uniformity that halogen atom is many.
For example, use CF respectively 4Gas is as first gas, C 4F 8Gas is using with CF as second gas 4: C 4F 8The mixing ratio of=15:6 is mixed under the situation of the processing gas that these gases obtain, by CF 4The sum that gas imports F is 4 * 15=60, and by C 4F 8The sum that gas imports F is 8 * 6=46, therefore by CF 4The F sum that gas imports is many.For this reason, flow is just by CF 4The gas decision, to handle the quantity delivered of gas in the mode of above-mentioned gas supply range central area more than the neighboring area, control flow control division F1, F2, make that the processing gas that imports to first gas importing chamber 45 will be more than the processing gas that imports to second gas compartment 46.
Equally, when the halogen atom sum of being supplied with by above-mentioned first gas is less than the halogen atom sum of second gas supply, with the quantity delivered of handling gas in the neighboring area of above-mentioned gas supply range mode more than the central area, control flow control division F1, F2, the feasible processing gas that imports to second gas compartment 46 is more than the processing gas that imports to first gas compartment 45.
The following describes second execution mode of the present invention.This execution mode is a composition of controlling the processing gas of supplying with to the gas supply range central area and the neighboring area of gas supply part 4 independently, and use-case plasma-etching apparatus is as shown in FIG. 3 implemented.In this device, for example the first gas introduction channel 51 is connecting the first gas supply source 61, the second gas supply source 62 and diluent gas supply source 63 respectively by the feed path with flow control division F1, F6~F8.And the second gas introduction channel 52 by having the feed path of flow control division F2, F9~F11, is connecting the first gas supply source 64, the second gas supply source 65 and diluent gas supply source 66 respectively.
These flow control divisions F1, F2, F6~F11, accept the control of control part 6, through the first gas introduction channel 51 and the second gas introduction channel 52, the processing gas (the processing gases that dilution rate is different) that can respectively first gas, second gas and diluent gas be mixed with the different blended composition and division in a proportion supplies to first gas compartment 45 and second gas compartment 46 then.Other structure be the same at the plasma-etching apparatus shown in Fig. 1.
In this example, because the processing gas of feedway, its composition can change, so under the identical situation of the composition of above-mentioned processing gas, perhaps under the situation of only supplying with CF class gas, by the processing gas flow of control to first gas compartment 45 and 46 supplies of second gas compartment, the sum of the fluorine atom (halogen atom) that supplies to above-mentioned gas supply range central area and the fluorine atom sum that supplies to the neighboring area are controlled, make the flow that supplies to the processing gas in above-mentioned first gas compartment 45 and second gas compartment 46 identical, by changing the composition of handling gas, promptly change by the dilution rate of diluent gas, fluorine atom sum that supplies to above-mentioned gas supply range central area and the fluorine atom sum that supplies to the neighboring area are controlled CF class gas.
So far, using carbon number is under the situation of first gas as main etching gas below 2, control the quantity delivered of first gas, make to supply to the fluorine atom sum of above-mentioned gas supply range central area more than the fluorine atom sum that supplies to the neighboring area to first gas compartment 45 and second gas compartment 46.
For example using CF 4Gas does not use under the situation of diluent gas as first gas, the CF that will supply with in first gas compartment 45 by flow control division F1, F6 4The gas supply flow rate is made as 100sccm, the CF that will supply with in second gas compartment 46 by flow control division F2, F9 4The gas supply flow rate is made as 50sccm, so the flow of supplying with to above-mentioned gas supply range central area is 100sccm, the flow of supplying with to the neighboring area is 50sccm, and so the feasible fluorine atom sum of supplying with to above-mentioned gas supply range central area of control is more than the fluorine atom sum of supplying with to the neighboring area.In the case, be arranged on the flow control division between the first gas supply source 61, the second gas supply source 62 and first gas compartment 45 and be arranged on flow control division between the first gas supply source 64, the second gas supply source 65 and second gas compartment 46 and only get and get final product.
And for example use CF 4Gas is as first gas, use Ar gas as diluent gas, under the situation that the first gas dilution rate is supplied with in changing gas supply range central area and neighboring area, by flow control division F6, F8, be first gas of 50sccm to the first gas introduction channel, 51 supply flow rates, flow is the diluent gas of 100sccm, simultaneously by flow control division F9, F11, to the second gas introduction channel 52, supply flow rate is first gas of 50sccm, and flow is the diluent gas of 300sccm.Then, regulate flow, supply with identical flow with second gas compartment 46 to first gas compartment 45 with the second gas introduction channel 52 from the first gas introduction channel 51 respectively and handle gas by flow control division F1, F2.
So, the flow of the processing gas of supplying with to first gas compartment 45 and second gas compartment 46 is identical, to be set at the processing gas supplied with to second gas compartment 46 by the dilution rate that diluent gas dilutes first gas greater than the processing gas of supplying with to first gas compartment 45, the result can control more than the mode of the fluorine atom sum of supplying with to the neighboring area with the fluorine atom sum of supplying with to above-mentioned gas supply range central area.
Be under the situation of second gas more than 3 using carbon number equally, the amount of the amount of second gas that control is supplied with to gas supply range central area and second gas supplied with to the neighboring area makes the fluorine atom sum of second gas that supplies to gas supply range central area be less than the fluorine atom sum of second gas that supplies to the neighboring area.
In the case, same with first gas, under the identical situation of the composition of above-mentioned processing gas or under the situation of only supplying with CF class gas, by second gas supplied with to second gas compartment 46 more than second gas of supplying with to first gas compartment 45, just can control the fluorine atom sum supplied with to above-mentioned gas supply range neighboring area more than the fluorine atom sum of supplying with to the central area, make to above-mentioned first gas compartment 45 identical with the amount of the processing gas of supplying with to above-mentioned second gas compartment 46, by changing the composition of handling gas, promptly change the dilution rate of diluting second gas by diluent gas, also can be with the fluorine atom sum supplied with to above-mentioned gas supply range neighboring area more than the fluorine atom sum of supplying with to the central area.
And be that first gas below 2 and carbon number are under the situation of mixing of second gas more than 3 with carbon number, in gas supply range central area, neighboring area which the halogen atom sum that calculating is imported by each CF class gas decide import more fluorine atom according to the big CF class gas of sum.
For example, supplying with respectively under first gas and the situation of second gas to first gas compartment 45 and second gas compartment 46 with the processing gas of different blended composition and division in a proportion mixing, for example first handle gas supplying with to first gas compartment 45 with what first mixing ratio mixed that first gas and second gas obtains, supply with second handling under the situation of gas of mixing with second mixing ratio that first gas and second gas obtains to second gas compartment 46, calculate first and handle gas and second and handle the halogen atom sum that gas is all imported by each CF class gas, in first gas compartment 45, supply with the amount of first gas and in second gas compartment 46, supply with the amount of second gas according to the many CF class gas decisions of sum.
In other words, importing from first gas under the many situations of number of fluorine atoms, by the flow of flow control division F1, the F2 control first processing gas and the second processing gas, make that supplying with first to first gas compartment 45 handles the quantity delivered of gas more than the quantity delivered to second gas compartment, 46 supplies, the second processing gas.
And under the many situations of the number of fluorine atoms that imports from second gas, control the flow that the first processing gas and second is handled gas by flow control division F1, F2, the feasible first processing gas delivery volume of supplying with to first gas compartment 45 is less than to second of second gas compartment, 46 supplies handles gas delivery volume.
In the case, first gas in the first processing gas and the mixing ratio of second gas, regulate by flow control division F6, F7, first gas in the second processing gas and the mixing ratio of second gas, regulate by flow control division F9, F10, handle gas and second for first of adjusting like this and handle the quantity delivered of gas in first gas compartment 45 and second gas compartment 46, control by flow control division F1, F2 respectively.
Be that first gas and carbon number below 2 is under the situation of second gas more than 3 particularly mixing carbon number, can control the quantity delivered of in first gas compartment 45 and second gas compartment 46, supplying with first gas and second gas, feasible quantity delivered for first gas, above-mentioned gas supply range central area is more than the neighboring area, and for the quantity delivered of second gas, above-mentioned gas supply range neighboring area is more than the central area.
Specifically, for example using CF 4Gas uses C as first gas 4F 8Under the situation of gas as second gas, the flow with 2sccm in first gas compartment 45 is supplied with C 4F 8Gas, supply with CF with the flow of 10sccm 4Gas, the flow with 4sccm in second gas compartment 46 is supplied with C 4F 8Gas, supply with CF with the flow of 5sccm 4Gas.So, the ratio of handling first gas in the gas in above-mentioned gas supply range central area greater than the neighboring area, and the mixing ratio of second gas and first gas diminishes, and greater than the central area, it is big that the mixing ratio of second gas and first gas becomes in the neighboring area for the ratio of second gas in the processing gas.For this reason, for first gas, control more than the mode of neighboring area with fluorine atom quantity delivered above-mentioned gas supply range central area,, control more than the mode of central area in above-mentioned gas supply range neighboring area with the quantity delivered of fluorine atom for second gas.
In the case, for first gas delivery volume of supplying with to first gas compartment 45, can regulate by flow control division F1 or flow control division F6, and, can regulate by flow control division F2 or flow control division F9 for first gas delivery volume of supplying with to second gas compartment 46.And for second gas delivery volume of supplying with to first gas compartment 45, can regulate by flow control division F1 or flow control division F7, and for second gas delivery volume of supplying with to second gas compartment 46, can regulate by flow control division F2 or flow control division F10.Therefore in this example, the flow control division of flow control division that is provided with between the first gas supply source 61, the second gas supply source 62 and first gas compartment 45 or setting between the first gas supply source 64, the second gas supply source 65 and second gas compartment 46 respectively one get final product.
So mix carbon number and be first gas below 2 and carbon number and be second gas more than 3, under the identical situation of the amount of the processing gas of supplying with to first gas compartment 45 and second gas compartment 46, can be with first gas and the ratio of handling gas, be set in above-mentioned gas supply range central area greater than the neighboring area, second gas and the ratio of handling gas can be set in above-mentioned gas supply range neighboring area greater than the central area.
First gas and the carbon number that particularly mix carbon number and be below 2 are under the situation of second gas more than 3, supply with first gas with identical quantity delivered to first gas compartment 45 and second gas compartment 46, second gas delivery volume with above-mentioned gas supply range neighboring area is controlled more than the mode of central area, with to the quantity delivered of second gas compartment 46 more than controlling to the quantity delivered of first gas compartment.For example with the C of 2sccm 4F 8The CF of gas and 10sccm 4The mixing ratio of gas is supplied with in first gas compartment 45 and is handled gas, and with the C of 4sccm 4F 8The CF of gas and 10sccm 4The mixing ratio of gas is supplied with in second gas compartment 46 and is handled gas.
At this moment, make when first gas compartment 45 is identical with the processing gas flow of supply in second gas compartment 46, can be set between first gas compartment 45 and second gas compartment 46, first gas is identical with the ratio of handling gas, and second gas is greater than the central area with the ratio of handling gas in above-mentioned gas supply range neighboring area.
And supply with second gas to first gas compartment 45 and second gas compartment 46 with same quantity delivered, can control make the gas of winning in the quantity delivered of above-mentioned gas supply range central area more than quantity delivered in the neighboring area, make first gas delivery volume of supplying with to first gas compartment 45 more than quantity delivered to second gas compartment 46.For example, with the C of 2sccm 4F 8The CF of gas and 10sccm 4The mixing ratio of gas is supplied with to first gas compartment 45, with the C of 2sccm 4F 8The CF of gas and 5sccm 4The mixing ratio of gas is supplied with to second gas compartment 46.
At this moment, when making flow to the processing gas that first gas compartment 45 and second gas compartment 46 are supplied with identical, between first gas compartment 45 and second gas compartment 46, make second gas identical, first gas and the ratio of handling gas can be set more than the mode of neighboring area with above-mentioned gas supply range central area with the ratio of handling gas.
So, central area at the above-mentioned gas supply range, the mixing ratio of second gas and first gas is little, in the neighboring area, the mixing ratio of second gas and first gas is big, so for first gas, to control more than the mode of neighboring area in the quantity delivered of above-mentioned gas supply range central area fluorine atom, for second gas, control more than the mode of central area with the quantity delivered of the neighboring area fluorine atom of above-mentioned gas supply range.
In the case, because for quantity delivered from first gas to first gas compartment 45 that supply with, regulate by flow control division F1 or flow control division F6, for quantity delivered from first gas to second gas compartment 46 that supply with, regulate by flow control division F2 or flow control division F9, for quantity delivered from second gas to first gas compartment 45 that supply with, regulate by flow control division F1 or flow control division F7, for quantity delivered from second gas to second gas compartment 46 that supply with, regulate by flow control division F2 or flow control division F10, so at the first gas supply source 61, the flow control division that is provided with between the second gas supply source 62 and first gas compartment 45, with at the first gas supply source 64, the flow control division that is provided with between the second gas supply source 65 and second gas compartment 46 is only got one and is got final product.
In the present invention, the sum of the halogen atom of being supplied with by above-mentioned first gas is than under the more situation of the sum of the halogen atom of being supplied with by second gas, can set the composition of handling gas and the quantity delivered of handling gas etc., make the above-mentioned gas supply range on unit are the fluorine atom sum in the unit interval in the central area more than the neighboring area, in the case, by the number of fluorine atoms that first gas and second gas are supplied with, will be in above-mentioned gas supply range central area more than the neighboring area.
At this moment, be less than at the halogen atom sum of supplying with by above-mentioned first gas under the situation of the halogen atom sum of supplying with by second gas, can set the composition of handling gas and the quantity delivered of handling gas etc., make above-mentioned gas supply range fluorine atom sum in the unit interval on unit are, in the neighboring area more than the central area, in the case, the number of fluorine atoms of supplying with by first gas and second gas, in the neighboring area of above-mentioned gas supply range more than the central area.
With such method, owing to can control according to the carbon number difference of CF class gas, make above-mentioned CF class gas to the quantity delivered of above-mentioned gas supply range central area more than the neighboring area, perhaps to the quantity delivered of neighboring area more than the central area, as in the following embodiments as can be known, can guarantee the uniformity of etching characteristics such as machining accuracy in face after the etchings such as etching speed, top CD, bottom CD, etch residue film, etch depth, void shape.
At this moment, just can be predetermined in above-mentioned gas supply range central area and neighboring area which according to the carbon number of CF class gas and can supply with more halogen atom, so can make parameter area when handling processing gas composition that gas supplies with to the supply flow rate of above-mentioned gas supply range central area and neighboring area with to above-mentioned gas supply range central area and neighboring area etc. in advance in very narrow zone, thereby be easy to provide condition in the decision optimum condition.
Embodiment
The following describes evaluation method of the present invention.The inventor is obtaining various data, to be fully understood that under the situation of CF class gas as main etching gas, for carbon number is first gas below 2, in the quantity delivered of above-mentioned gas supply range central area during more than the neighboring area, improved the uniformity of etching characteristic in face such as processing dimension after etching speed and the etching, and be second gas more than 3 for carbon number, when the quantity delivered of above-mentioned gas supply range neighboring area during, can improve the uniformity of above-mentioned etching characteristic in face more than the central area.
At first be illustrated as the experimental example that the mechanism of the present invention that gets across is carried out.
Fig. 4 represents to set C 4F 8Gas is as CF class gas, in the plasma-etching apparatus of having narrated, by changing processing gas of supplying with to first gas compartment 45 (gas supply range central area) and the processing gas flow ratio of supplying with to second gas compartment 46 (gas supply range neighboring area), supply with under the situation of CF class gas delivery volume the analog result that wafer W near surface gas flow rate distributes and change to gas supply range central area and neighboring area.In Fig. 4, the longitudinal axis is represented gas flow rate, transverse axis is represented the distance apart from center wafer, double dot dash line represents that above-mentioned processing gas is 3/7 situation in the flow-rate ratio (C/E) of gas supply range central area (C) and neighboring area (E), solid line represents that above-mentioned flow-rate ratio C/E is 5/5 situation, and the above-mentioned flow-rate ratio C/E of single-point line expression is 7/3 situation.So-called above-mentioned flow-rate ratio C/E is 3/7 situation, means to gas supply range central area and supplies with the processing gas of all handling 3/10 flow of gas flow, supplies with the processing gas of all handling 7/10 flow of gas flow to the neighboring area.
This results verification, under the situation of processing gas of supplying with to gas supply range central area more than the neighboring area, the flow velocity maximum, and under the situation of processing gas more than the central area of neighboring area supply, the flow velocity minimum.Can infer that compare supplying with to the central area under many situations with supplying with under many situations to the neighboring area, the degree that gas flow rate quickens increases, the mind-set peripheral gas flows sooner from wafer W.
In addition, by inference, under the situation of supplying with manyly to the neighboring area, because it is smaller at wafer W central area gas flow rate, and gas flow rate sharply becomes big near periphery, makes that the gas in the central area is in the delay state, and is more in the molecular proportion that this central area residence time is long.
At this, when considering that carbon number is the less CF class gas of molecular proportion 2 below,, carry out the uniformity of degree in face by etching about the residence time of gas because the F/C ratio becomes the big etching action enhancing that makes., supplying with under many situations to the neighboring area for this reason, since elongated in the central area gas hold-up time, make and carry out too much than the etching of neighboring area, cause the uniformity in the face to worsen.In contrast, supply with under many situations in the central area by inference, because gas mind-set periphery from wafer W flows rapidly, the residence time of gas easily becomes consistent in wafer W face content, and etching is carried out the uniformity of degree in face and just become consistent easily.And be the bigger CF class gas of molecular proportion more than 3 for carbon number, think because the less polymer that makes of the odds ratio of F/C turns into becoming big, distribute by the existence of the residence time of gas, active seed, make etching characteristic good at inner evenness.
For this reason as shown in FIG. 5, set C 4F 8Gas is as CF class gas, in the plasma-etching apparatus of having narrated, under the situation of the flow-rate ratio of the processing gas that changes the processing gas supplied with to gas supply range central area and supply with to the neighboring area, to simulating in the pressure distribution of wafer W near surface.In Fig. 5, the longitudinal axis is represented pressure, and transverse axis is represented the distance apart from center wafer, and double dot dash line represents that above-mentioned flow-rate ratio C/E is 3/7, and solid line represents that above-mentioned flow-rate ratio C/E is 5/5, and the above-mentioned flow-rate ratio C/E of single-point line expression is 7/3 situation.
This results verification supply with to be handled gas than the central area under the more situation to above-mentioned gas supply range neighboring area, pressure distribution is the most even in the wafer W face.Mean evenly that in this so-called pressure distribution the molecular density of handling gas is that the density that exists of active seed is uniform in the wafer W face uniformly.So, be CF class gas more than 3 for carbon number, to supply with to the neighboring area under the situation of Duo than the central area, active seed evenly exists in the wafer W face easily, has improved the interior uniformity of the face that is etched in by inference thus.
In order to prove this point, use C respectively 5F 8Gas is as CF class gas, with Ar gas and O 2Gas is as diluent gas, in plasma-etching apparatus as shown in fig. 1, under following treatment conditions, by the plasma of handling gas, on naked silicon, change is handled thereby carry out film forming to the processing gas flow of gas supply range central area and neighboring area supply, measures the uniformity of film forming speed this moment in face.
<treatment conditions 〉
C 5F 8Gas, Ar gas, O 2The flow-rate ratio of gas:
C 5F 8:Ar:O 2=15:380:19sccm
Processing pressure: 1.995Pa (15mTorr)
Treatment temperature: 20 ℃
The frequency of first high frequency electric source 61 and power: 60MHz, 2170W
The frequency of second high frequency electric source 65 and power: 2MHz, 0W
This result is presented among Fig. 6.In Fig. 6, the longitudinal axis is represented film forming speed, and transverse axis is represented the distance apart from center wafer, and represents that the above-mentioned flow-rate ratio C/E of above-mentioned processing gas is 7/3, and O represents that above-mentioned flow-rate ratio C/E is 5/5, and ■ represents that above-mentioned flow-rate ratio C/E is 3/7 situation.This shows under above-mentioned flow-rate ratio C/E is 3/7 situation, film forming speed is the most even in the wafer W face, confirmed that under the situation of quantity delivered more than the central area of neighboring area pressure distribution is that it is uniform that there is density in active seed uniformly in the wafer W face.
Each embodiment below then having enumerated.
(embodiment 1)
To using CHF 3Gas is as CF class gas, use Ar and N 2Gas is as the processing gas of diluent gas, be pre-mixed, it is imported in the plasma-etching apparatus shown in Figure 1, under following treatment conditions, change is to the quantity delivered of above-mentioned gas supply range central area with to the quantity delivered of neighboring area, to carrying out etch processes, by CF density, CF to this moment at the resist film that forms on the wafer W (on entire wafer W surface, form, do not form style) 2The uniformity of density in the wafer W face carried out LIF (laser-induced fluorescence (LIF)) and measured.Be made as 0/10,3/7,5/5,7/3 and 10/0 at this above-mentioned flow-rate ratio C/E that will handle gas.So-called above-mentioned flow-rate ratio C/E is made as 0/10 and means situation from processing gas to gas supply range neighboring area that only supply with.
<treatment conditions 〉
CHF 3Gas, Ar gas, N 2The flow-rate ratio of gas
CHF 3:Ar:N 2=40:1000:80sccm
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 1200W
The frequency of second high frequency electric source 65 and power: 2MHz, 1700W
This result, the inner evenness of Fig. 7 (a) expression CF density, Fig. 7 (b) represents CF 2The inner evenness of density.In Fig. 7, the longitudinal axis is represented CF density (CF 2Density), transverse axis is represented the distance apart from center wafer, the above-mentioned flow-rate ratio C/E of ▲ expression is 0/10 situation, ■ represents that above-mentioned flow-rate ratio C/E is 3/7 situation, the above-mentioned flow-rate ratio C/E of zero expression is 5/5 situation, represents that above-mentioned flow-rate ratio C/E is 7/3 situation, and △ represents that above-mentioned flow-rate ratio C/E is 10/0 situation.
The result can confirm thus, under the big situation of the flow of the central area of first gas compartment, 45 gas supply ranges with under the big situation of neighboring area flow, compare CF density, CF 2Density all is uniform in wafer face.At this, under the big situation of neighboring area flow, be high in the CF of wafer W central area density etc., but low in the neighboring area, as what narrated, infer and may be detained at wafer W central area gas.In addition, under the big situation of central area flow, because it is low in the central area of wafer W density, in entire wafer W face, almost be uniform, as what narrated, improve the uniformity that gas flow rate distributes in face, can infer thus, CF density etc. is uniform in the face of entire wafer W.
For carbon number like this is first gas below 2, under the big situation of central area flow, as the CF or the CF of the active seed of CF class gas 2The amount of active seed is uniformly in the wafer W face, can infer that thus it is uniform the etching of carrying out in the wafer W face.The inventor attempts C 4F 8Gas carries out and CHF 3The experiment that gas is same, but the measured value of LIF reduces, owing to judge that reliability is smaller, do not note the data of measurement.
(embodiment 2)
To using CHF 3Gas is as CF class gas, use Ar gas and N 2Gas is as the processing gas of diluent gas, after being pre-mixed, in its importing plasma-etching apparatus as shown in Figure 1, under following treatment conditions, change to handle gas at the flow of gas supply range central area with at the flow of neighboring area, to the etched film (SiO that on wafer W, forms 2Film) carries out etch processes, the inner evenness at this moment residual resist film, etch depth, top CD, the bending position is estimated.Handle the flow-rate ratio of gas in above-mentioned gas supply range central area and neighboring area this moment is that above-mentioned flow-rate ratio C/E is made as 1/9,5/5 and 9/1 situation.
At this, in Fig. 8 (a), the 71st, as the SiOC film of etched film, the 72nd, the resist film that on SiOC film surface, forms, the residual film of above-mentioned resist is represented distance A, etch depth represents apart from B, and bending position is illustrated in the hole (recess) 73 that forms on the SiOC film to expanding most the distance C at position, and top CD refers to hole (recess) the 73 upper part diameter D that form on the SiOC film.
About these inner evenness, for example photograph by the film of section SEM after to etching, obtain each size of above-mentioned distance A, B, C and diameter D at wafer W central part and periphery based on this photo, the more little then inner evenness of the difference of above-mentioned central part and periphery is good.Central part in this so-called wafer W is the pivot of wafer W, and the periphery of so-called wafer W, refers to from the outer rim of the wafer W position of 5mm to the inside.The method of the definition of the residual film of these resists, etch depth, bending position, top CD and data acquisition method, the data difference evaluation inner evenness by wafer W central part and periphery is same with the following examples all.
<treatment conditions 〉
CHF 3Gas, Ar gas, N 2The flow-rate ratio of gas
CHF 3:Ar:N 2=40:1000:80sccm
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 1200W
The frequency of second high frequency electric source 65 and power: 2MHz, 1700W
This result is presented among Fig. 8 (b).Using CHF 3During gas, the difference at center wafer portion and periphery of the residual film of resist, etch depth, top CD, bending position (absolute value) all is to diminish under the big situation of the flow of the flow-rate ratio neighboring area of central area, embodiment is appreciated that thus, the use carbon number is the CF class gas below 2, under the big situation of central area flow, etching is carried out evenly in the wafer W face, and the etching characteristic of the residual film of resist, etch depth, top CD, bending position all is good at inner evenness.
(embodiment 3)
To using CHF 3Gas is as CF class gas, use Ar gas, N 2Gas and O 2Gas is as the processing gas of diluent gas, be pre-mixed, it is imported in as shown in fig. 1 the plasma-etching apparatus, under the treatment conditions below, change is supplied with the flow of handling gas to above-mentioned gas supply range central area and neighboring area, to on the etched film that forms on the wafer W (SiOCH film), carrying out etch processes, to estimating by the inner evenness of the top CD of etching formation and the inner evenness of etch depth this moment.
<treatment conditions 〉
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 1500W
The frequency of second high frequency electric source 65 and power: 2MHz, 2800W
Fig. 9 (a) demonstrates the inner evenness of above-mentioned top CD, and Fig. 9 (b) demonstrates the inner evenness of etch depth.The longitudinal axis in Fig. 9 (a) is the absolute value of top CD in the difference of the data of central part and periphery, and the longitudinal axis in Fig. 9 (b) is the absolute value of etch depth in the difference of the data of central part and periphery.And at Fig. 9 (a) with (b), transverse axis represents to handle the flow-rate ratio C/E of gas in central area and neighboring area, for example when this flow-rate ratio is 50%, just means that above-mentioned flow-rate ratio C/E is 5/5 situation, when it is 90%, mean that above-mentioned flow-rate ratio C/E is 9/1 situation.
The result can confirm thus, when supplying with under many situations to above-mentioned gas supply range central area, top CD, etch depth are smaller in the difference of the data of wafer W central part and periphery, inner evenness is good, the result is appreciated that thus, when to use carbon number be first gas below 2, under the bigger situation of above-mentioned central area flow-rate ratio, the degree that etching is carried out was uniform in the face of wafer W.
(embodiment 4)
To using CH 2F 2Gas is as CF class gas, use O 2Gas is as the processing gas of diluent gas, be pre-mixed, in its importing plasma-etching apparatus as shown in Figure 1, under the treatment conditions below, change is to the processing gas flow of wafer W central area and neighboring area supply, the etched film (laminated film of SiO film and SiOCH film) that forms on wafer W is carried out etch processes, the uniformities in face such as the residual film of resist, top CD, bottom CD and recess of this moment are estimated.At this moment, handle gas flow ratio, be made as above-mentioned flow-rate ratio C/E and be 1/9,5/5 and 9/1 situation in central area and neighboring area.
<treatment conditions 〉
CH 2F 2Gas, O 2The flow-rate ratio of gas:
CH 2F 2:O 2=40:20sccm
Processing pressure: 7.98Pa (60mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 700W
The frequency of second high frequency electric source 65 and power: 2MHz, 300W
At this, so-called above-mentioned bottom CD refers in Fig. 8 (a), the bottom side diameter E in the hole 73 that on etched film (SiOC film) 71, forms, and so-called recess (Recess) refers to the etch quantity at etched film counterdie.For they uniformities in face, by section SEM the film after the etching is for example photographed,, obtain data in the central area and the neighboring area of wafer W for each project based on this photo, estimate by the difference of obtaining both, this difference uniformity in the facet more is good more.The method that definition and data by bottom CD and recess obtain, the method that uniformity is carried out in the data difference opposite of wafer W central area and neighboring area also are same in the following embodiments.
Its result is presented among Figure 10, be under 9/1 the situation at above-mentioned flow-rate ratio C/E, the residual film of resist, top CD, bottom CD and recess, data difference in central area and neighboring area is all smaller, and the uniformity that is considered in the face is best, and the result is appreciated that thus, when to use carbon number be first gas below 2, under the big situation of central area flow, in the face of wafer W, the degree that etching is carried out is uniform.
(embodiment 5)
To using C 4F 8Gas is as CF class gas, use Ar gas and N 2Gas is as diluent gas, be pre-mixed, it is imported in as shown in fig. 1 the plasma-etching apparatus, under the treatment conditions below, change is supplied with the flow of handling gas to above-mentioned gas supply range central area and neighboring area, etched film (is the TEOS of 50nm and the anti-reflective film (BARC) of thickness 100nm at SiOC film superimposed layer thickness) to forming on wafer W carries out etch processes, and the shape in the hole that forms this moment is estimated.To the flow that wafer W central area and neighboring area are supplied with, be made as above-mentioned flow-rate ratio C/E and be 1/9,5/5 and 9/1 situation at this.
<treatment conditions 〉
C 4F 8Gas, Ar gas, N 2The flow-rate ratio of gas
C 4F 8:Ar:N 2=5:1000:150sccm
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 500W
The frequency of second high frequency electric source 65 and power: 2MHz, 2000W
At this, the shape in above-mentioned hole is shown in Figure 11 (a), the sidewall outer 74 in hole 73 and the angle that constitutes between the extended line 75 of face of bottom, hole are inclination angle [theta], measure in hole that the central part of wafer W forms with in the hole that periphery forms, and estimate by the difference of obtaining both.This difference is more little just to mean hole shape having good uniformity in face.
This result is shown in Figure 11 (b).In this Figure 11 (b), the longitudinal axis is represented inclination angle [theta], and transverse axis is illustrated in the position on the wafer, and ◇ represents that above-mentioned flow-rate ratio C/E is 1/9 situation, and represents that above-mentioned flow-rate ratio C/E is 5/5 situation, and △ represents that above-mentioned flow-rate ratio C/E is 9/1 situation.Can confirm that thus inclination angle [theta] is in the difference of wafer W central part and periphery, being that 1/9 situation is a minimum at above-mentioned flow-rate ratio C/E, so the uniformity of hole shape in face is good.The result is appreciated that under the big situation of the flow of neighboring area, the hole shape in the wafer W face is uniform when to use carbon number be second gas more than 3 thus.
(embodiment 6)
To using C 4F 8Gas and CF 4Two kinds of gases of gas are as CF class gas, without the processing gas of diluent gas, after being pre-mixed, it is imported in as shown in Figure 1 the plasma-etching apparatus, under the treatment conditions below, change is supplied with the flow of handling gas and flow from processing gas to the neighboring area that supply with to above-mentioned gas supply range central area, the etched film (SiOCH film) that is formed on the wafer W is carried out etch processes, as mentioned above the uniformity of top CD in face of this moment estimated.
<treatment conditions 〉
C 4F 8Gas, CF 4The flow-rate ratio of gas
C 4F 8: CF 4Gas=5:200sccm
The frequency of first high frequency electric source 61 and power: 60MHz
The frequency of second high frequency electric source 65 and power: 2MHz
This result as shown in figure 12.In this Figure 12, the longitudinal axis is represented the absolute value of top CD difference, and transverse axis is represented the processing gas flow ratio C/E to central area and neighboring area supply.Confirm that thus when above-mentioned flow-rate ratio C/E=7/3, above-mentioned CD is in the data difference minimum of central part and periphery, the uniformity of above-mentioned top CD in face is good.
In this embodiment, C 4F 8Gas and CF 4The flow-rate ratio C of gas 4F 8: CF 4=5sccm:200sccm is by CF 4The number of fluorine atoms of supplying with is more than by C 4F 8The number of fluorine atoms of supplying with is appreciated that in the case, according to CF 4Gas makes under the more situation of the flow of above-mentioned gas supply range central area, just can guarantee the inner evenness of top CD.
(embodiment 7)
To using C 4F 8Gas and CF 4Two kinds of gases of gas are as CF class gas, use N 2Gas and O 2Gas is as the processing gas of diluent gas, after being pre-mixed, it imported to carry out first etch processes in the chamber, then to using C 4F 8Gas is as CF class gas, use Ar gas and N 2Gas is as the processing gas of diluent gas, after being pre-mixed, it is imported to carries out second etch processes in the chamber, in the case, with aforesaid method to top CD and bottom CD the uniformity in face estimate.Use plasma-etching apparatus as shown in Figure 1 this moment, under following treatment conditions, change is supplied with the flow of processing gas and is supplied with the flow of handling gas to the neighboring area to the central area of the above-mentioned gas supply range of wafer W, and the etched film (is the TEOS of 50nm and the anti-reflective film (BARC) that thickness is 65nm at SiOCH film superimposed layer thickness) that is formed on the wafer W is carried out etch processes.For above-mentioned flow-rate ratio C/E in first etch processes and second etch processes all be 5/5 situation and in first etch processes above-mentioned flow-rate ratio C/E be 9/1, above-mentioned flow-rate ratio C/E is that 1/9 situation is estimated in second etch processes.
The treatment conditions of<the first etch processes 〉
C 4F 8Gas, CF 4Gas, N 2Gas, O 2The flow-rate ratio of gas:
C 4F 8:CF 4:N 2:O 2=6:15:120:10sccm
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 800W
The frequency of second high frequency electric source 65 and power: 2MHz, 1400W
The treatment conditions of<the second etch processes 〉
C 4F 8Gas, Ar gas, N 2The flow-rate ratio of gas:
C 4F 8:Ar:N 2=8:50:1000sccm
Processing pressure: 3.325Pa (25mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 1000W
The frequency of second high frequency electric source 65 and power: 2MHz, 3000W
This result is presented among Figure 12.Can recognize thus, top CD, bottom CD are at poor (absolute value) of the data of wafer W central part and periphery, all little under the situations of supplying with the neighboring area under the situation in zone, many backbones and in second etch processes in first etch processes, the inner evenness of above-mentioned CD is good more.
Can recognize thus, change the kind of CF class gas, under the situation of carrying out first etch processes and second etch processes continuously, because the carbon number control according to each CF class gas is supplied with processing gas and is supplied with the flow of handling gas to the neighboring area to above-mentioned central area, just can carry out the very high etch processes of inner evenness.
At this moment, in first etch processes, C 4F 8Gas and CF 4The flow-rate ratio of gas is C 4F 8: CF 4=6sccm:15sccm is by CF 4The number of fluorine atoms that gas is supplied with is more than by C 4F 8The number of fluorine atoms that gas is supplied with in the case, is appreciated that and works as according to CF 4When the flow of supplying with to above-mentioned central area was big, top CD and bottom CD in the wafer W face were even.And in second etch processes, owing to used C 4F 8Gas is appreciated that under the big situation of the flow of above-mentioned neighboring area top CD and bottom CD in the wafer W face are even.
(embodiment 8)
Under condition similarly to Example 7, the etched film that is formed on the wafer W is carried out etch processes, distribute with CD in CD-SEM (carrying out the electron microscope that non-destructive is observed wafer W from the above) opposite and estimate.In Figure 14 (a), demonstrate in first etch processes, above-mentioned flow-rate ratio C/E is 9/1, above-mentioned flow-rate ratio C/E is the result under 1/9 the situation in second etch processes, demonstrates in first and second etch processes above-mentioned flow-rate ratio C/E and be the result under 5/5 the situation in Figure 14 (b).In Figure 14, the longitudinal axis is the CD movement value, and transverse axis is the position on wafer, and ◇ represents the data of X-axis, the data of zero expression Y-axis.The CD movement value of this embodiment means the poor of bore dia after the bore dia of mask and the etching.
The result can recognize thus, above-mentioned CD movement value, in first etch processes, supply with many and in second etch processes, supply with under many situations to the neighboring area to the central area, equally all little at numerical value on the X-axis and the numerical value on Y-axis, so the CD distributing homogeneity in above-mentioned is just high.
(embodiment 9)
To using C 5F 8Gas is as CF class gas, use Ar gas and O 2Gas is pre-mixed as the processing gas of diluent gas, with the indoor etch processes of carrying out of its introduction chamber, the uniformity of in the case etching speed, resist selectivity, the residual film of resist, etch depth is estimated.Utilize plasma-etching apparatus as shown in Figure 1 this moment, under the treatment conditions below, change is supplied with the flow of processing gas and is supplied with the flow of handling gas to the neighboring area to the above-mentioned gas supply range central area of wafer W, and the resist film that is formed on the wafer W is carried out etch processes.About the processing gas flow of supplying with to above-mentioned central area this moment be 208sccm, to supply with the flow of handling gas to the neighboring area also be the situation of 208sccm, with the processing gas flow of supplying with to above-mentioned central area be 208sccm, to supply with the flow of handling gas to the neighboring area be the situation of 312sccm, above-mentioned etching speed etc. is estimated.At this so-called etching selectivity by SiO 2Film etch quantity/Etching mask thickness reduction calculates, for etching speed, resist inner evenness optionally, for example can be by photographing by the film of section SEM after to etching, obtain at wafer W central part and periphery based on this photo, above-mentioned etching speed and resist be size optionally, the difference of above-mentioned central part and periphery is more little, and inner evenness is good more.
<treatment conditions 〉
C 5F 8Gas, Ar gas, O 2The flow-rate ratio of gas:
C 5F 8:Ar:O 2=16:380:20sccm
Processing pressure: 3.325Pa (25mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 1000W
The frequency of second high frequency electric source 65 and power: 2MHz, 3000W
This result is presented among Figure 15.Can confirm thus, under the situation of supply flow rate greater than the supply flow rate of central area of the neighboring area of above-mentioned gas supply range, etching speed, resist selectivity, the residual film of resist, etch depth, difference between wafer W central part and periphery is little, so having good uniformity in its face.
In this embodiment, can confirm, not change the supply flow rate of above-mentioned central area, only change the supply flow rate of neighboring area, if but the supply flow rate of central area is identical in the case, even the supply flow rate of neighboring area changes, the etching characteristic at wafer W center can not change yet.Be appreciated that thus, the flow-rate ratio of the supply flow rate of the total flow by set handling gas and the supply flow rate of central area and neighboring area, do not change the flow of central area, and the supply flow rate of increase neighboring area, the etching characteristic that just can keep the central area is constant, and the etching characteristic of neighboring area is changed, improve the uniformity of etching characteristic in face thus.
(embodiment 10)
Using C 4F 8Gas is as CF class gas, use CO gas, N 2Gas and O 2Gas carries out as diluent gas estimating the inner evenness of above-mentioned CD movement value under the situation of etch processes.At this moment, use plasma-etching apparatus as shown in Figure 1, under the treatment conditions below, change to handle gas, the etched film (SiOC film) that is formed on the wafer W is carried out etch processes to the supply flow rate of wafer W central area with to the supply flow rate of neighboring area.Is that 2/4,2/2 and 2/6 situation is estimated at this to above-mentioned flow-rate ratio C/E.
<treatment conditions 〉
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 800W
The frequency of second high frequency electric source 65 and power: 2MHz, 1400W
This result is presented among Figure 16.Can confirm thus, supply with C by changing to the neighboring area 4F 8The flow of gas makes that great changes have taken place at the CD of wafer W neighboring area movement value, because C 4F 8The flow that gas is supplied with to the neighboring area is improved the inner evenness of above-mentioned CD movement value greater than the flow to the central area.
Be appreciated that first gas by changing the processing gas of supplying with to central area and neighboring area and the mixing ratio of second gas thus, can carry out the high etch processes of inner evenness.
(embodiment 11)
Using CHF 3Gas and CF 42 kinds of gases of gas use Ar gas and N as CF class gas 2Gas carries out as diluent gas using C then after first etch processes 4F 8Gas uses Ar gas and N as CF class gas 2Gas carries out as diluent gas under the situation of second etch processes inner evenness of aforesaid top CD being estimated.To import with the processing gas that predetermined amount of flow is mixed in the plasma-etching apparatus shown in Figure 1 this moment, under the treatment conditions below, change is supplied with the flow of processing gas and is supplied with the flow of handling gas to the neighboring area to the wafer W central area, and the resist film that is formed on the wafer W is carried out etch processes.
The treatment conditions of<the first etch processes 〉
CHF 3Gas, CF 4Gas, Ar gas, N 2The flow-rate ratio of gas:
CHF 3:CF 4:Ar:N 2=15:15:500:80sccm
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 800W
The frequency of second high frequency electric source 65 and power: 2MHz, 1700W
The treatment conditions of<the second etch processes 〉
C 4F 8Gas, Ar gas, N 2The flow-rate ratio of gas:
C 4F 8:Ar:N 2=7:950:120sccm
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 1200W
The frequency of second high frequency electric source 65 and power: 2MHz, 1700W
Supply flow rate about central area and neighboring area, to in first etch processes and second etch processes, above-mentioned flow-rate ratio C/E is 50/50 situation, and in first etch processes, above-mentioned flow-rate ratio C/E is 95/5, and in second etch processes, above-mentioned flow-rate ratio C/E is that 5/95 situation is estimated.
This result is presented among Figure 17.Can confirm thus, for top CD, in first etch processes, supply with often, and in second etch processes, supply with under many situations to the neighboring area to the central area, the difference of central area and neighboring area is little, above-mentioned CD having good uniformity in face.
So in first etch processes, be mixed with CHF owing to use 3Gas, CF 4Gas, Ar gas and N 2The processing gas of gas is the situation of first gas 2 below according to supplying with carbon number, and the quantity delivered of the processing gas of supplying with to above-mentioned central area is many, and in second etch processes, because use is mixed with C 4F 8Gas, Ar gas and N 2The processing gas of gas is the situation of second gas more than 3 according to supplying with carbon number, by supplying with more processing gas to above-mentioned neighboring area, just can guarantee good etching characteristic.
(embodiment 12)
Use C 4F 8Gas and CF 4Gas uses N as CF class gas 2Gas and O 2Gas is pre-mixed these gas as diluent gas, is using this mixed processing gas to carry out under the situation of etch processes the inner evenness of etching speed being estimated.Utilize plasma-etching apparatus shown in Figure 1 this moment, under the treatment conditions below, change is supplied with the supply flow rate of processing gas and is supplied with the supply flow rate of handling gas to the neighboring area to the central area of above-mentioned gas supply range, and the resist film that forms on wafer W is carried out etch processes.
<treatment conditions 〉
C 4F 8Gas, CF 4Gas, N 2Gas, O 2The flow-rate ratio of gas
C 4F 8:CF 4:N 2:O 2=6:15:120:10sccm
Processing pressure: 6.65Pa (50mTorr)
The frequency of first high frequency electric source 61 and power: 60MHz, 800W
The frequency of second high frequency electric source 65 and power: 2MHz, 1400W
Under the lower and upper situation of stating flow-rate ratio C/E=90/10 of the situation of above-mentioned flow-rate ratio C/E=50/50, the supply flow rate of central area and neighboring area is estimated.
This result is presented among Figure 18.Can confirm that thus for etching speed, when supplying with under many situations to the central area, the difference of center and periphery is smaller, above-mentioned etching speed having good uniformity in face.
This situation is exactly to supply with the situation of the mist of first gas and second gas, can confirm, by CF 4The number of fluorine atoms that gas is supplied with is more than by C 4F 8Under the situation of the number of fluorine atoms that gas is supplied with, as long as according to supplying with CF 4The situation of gas, control gets final product to the flow of central area and neighboring area supply processing gas.
Among superincumbent the present invention,, except semiconductor wafer W, can also use glass substrate that uses in the flat display panel of LCD glass substrate and PDP substrate and so on etc. as substrate.And, except the parallel plate-type plasma-etching apparatus, can use magnetic field RIE mode, ICP mode, ECR mode, Helicon wave plasma mode etc. as the plasma-etching apparatus that uses in the present invention.

Claims (15)

1. engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is included in to substrate independently and contains the processing gas that carbon number is first gas of carbon atom below 2 and halogen atom 1 molecule, etched film to substrate carries out etching, it is characterized in that:
With gas supply range first gas delivery volume in the unit interval on unit are of described gas supply part, in the mode of central area, supply with processing gas from this gas supply part more than the neighboring area, the etched film to substrate carries out etching simultaneously,
Described first gas is CH at least 2F 2Gas, CHF 3Gas, C 2F 6In the gas any.
2. engraving method as claimed in claim 1 is characterized in that:
Described first gas delivery volume in the mode of central area more than the neighboring area, is supplied with the operation of handling gas from gas supply part, is to be undertaken by at least one side in the first gas dilution rate of regulating first gas flow and diluent gas.
3. engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is included in to substrate independently and contains the processing gas that carbon number is second gas of carbon atom more than 3 and halogen atom 1 molecule, etched film to substrate carries out etching, it is characterized in that:
With gas supply range second gas delivery volume in the unit interval on unit are of described gas supply part, in the mode of neighboring area, supply with processing gas from this gas supply part more than the central area, the etched film to substrate carries out etching simultaneously,
Described second gas is C at least 3F 8Gas, C 4F 8Gas, C 4F 6In the gas any.
4. engraving method as claimed in claim 3 is characterized in that:
Described second gas delivery volume in the mode of neighboring area more than the central area, is supplied with the operation of handling gas from gas supply part, is to be undertaken by at least one side in the second gas dilution rate of regulating second gas flow and diluent gas.
5. engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is included in that to contain carbon number 1 molecule be that the processing gas and being included in of first gas of carbon atom below 2 and halogen atom contains the processing gas that carbon number is second gas of carbon atom more than 3 and halogen atom in 1 molecule to substrate independently, etched film to substrate carries out etching, it is characterized in that:
In the central area and neighboring area of gas supply part, second gas is identical with the mixing ratio of first gas,
During halogen atom sum that the halogen atom sum of supplying with when described first gas is supplied with more than second gas, with the gas supply range of the described gas supply part quantity delivered of mist in the unit interval on unit are, in the mode of central area more than the neighboring area, supply with processing gas from this gas supply part, the etched film to substrate carries out etch processes simultaneously.
6. engraving method as claimed in claim 5 is characterized in that:
Mist quantity delivered with first gas and second gas, in the mode of central area more than the neighboring area, supplying with the operation of handling gas from gas supply part, is that at least one side carries out in the processing gas dilution rate of handling gas flow and diluent gas by regulating.
7. engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is included in that to contain carbon number 1 molecule be that the processing gas and being included in of first gas of carbon atom below 2 and halogen atom contains the processing gas that carbon number is second gas of carbon atom more than 3 and halogen atom in 1 molecule to substrate independently, etched film to substrate carries out etching, it is characterized in that:
To the central area of gas supply part, supply with what first mixing ratio mixed that first gas and second gas obtains and first handle gas,
To the neighboring area of gas supply part, supply with what second mixing ratio mixed that first gas and second gas obtains and second handle gas,
During halogen atom sum that the halogen atom sum of supplying with when described first gas is supplied with more than second gas, with the gas supply range of the described gas supply part quantity delivered of mist in the unit interval on unit are, handle the mode of gas delivery volume with described first more than the described second processing gas delivery volume, from this gas supply part supply gas
When the halogen atom sum of described first gas supply is less than the halogen atom sum of second gas supply, with the gas supply range of the described gas supply part quantity delivered of mist in the unit interval on unit are, handle the mode that gas delivery volume is less than the described second processing gas delivery volume with described first, from this gas supply part supply gas, the etched film to substrate carries out etching simultaneously.
8. engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is included in that to contain carbon number 1 molecule be that the processing gas and being included in of first gas of carbon atom below 2 and halogen atom contains the processing gas that carbon number is second gas of carbon atom more than 3 and halogen atom in 1 molecule to substrate independently, etched film to substrate carries out etching, it is characterized in that:
When the gas supply part central area is identical with first gas delivery volume in the neighboring area, gas supply range second gas delivery volume in the unit interval on unit are with described gas supply part, in the mode of neighboring area, supply with processing gas from this gas supply part more than the central area
When the gas supply part central area is identical with second gas delivery volume in the neighboring area, gas supply range first gas delivery volume in the unit interval on unit are with described gas supply part, in the mode of central area more than the neighboring area, supply with processing gas from this gas supply part, the etched film to substrate carries out etching simultaneously.
9. engraving method as claimed in claim 8 is characterized in that:
Described first gas delivery volume in the mode of central area more than the neighboring area, is supplied with the operation of handling gas from gas supply part, is to be undertaken by at least one side in the first gas dilution rate of regulating first gas flow and diluent gas.
10. engraving method as claimed in claim 8 or 9, it is characterized in that: described first gas is CH at least 2F 2Gas, CHF 3Gas, CF 4Gas, C 2F 6In the gas any.
11. engraving method as claimed in claim 8 is characterized in that:
Described second gas delivery volume in the mode of neighboring area more than the central area, is supplied with the operation of handling gas from gas supply part, is to be undertaken by at least one side in the second gas dilution rate of regulating second gas flow and diluent gas.
12. as claim 8 or 11 described engraving methods, it is characterized in that: described second gas is C at least 3F 8Gas, C 4F 8Gas, C 4F 6Gas, C 5F 8In the gas any.
13. engraving method, utilization can be from the central area relative with substrate center zone and the neighboring area relative with the substrate periphery zone be supplied with the gas supply part of handling gas and is included in that to contain carbon number 1 molecule be that the processing gas and being included in of first gas of carbon atom below 2 and halogen atom contains the processing gas that carbon number is second gas of carbon atom more than 3 and halogen atom in 1 molecule to substrate independently, etched film to substrate carries out etching, it is characterized in that:
During halogen atom sum that the halogen atom sum of supplying with when described first gas is supplied with more than second gas, with the gas supply range of described gas supply part halogen atom sum in the unit interval on unit are, in the mode of central area, set from the composition and the amount of the processing gas of this gas supply part supply more than the neighboring area.
14. engraving method as claimed in claim 13 is characterized in that: described first gas is CH at least 2F 2Gas, CHF 3Gas, CF 4Gas, C 2F 6In the gas any.
15. engraving method as claimed in claim 13 is characterized in that: described second gas is C at least 3F 8Gas, C 4F 8Gas, C 4F 6Gas, C 5F 8In the gas any.
CNB2006100648170A 2005-03-25 2006-03-14 Etching method Expired - Fee Related CN100487871C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005087889A JP4701776B2 (en) 2005-03-25 2005-03-25 Etching method and etching apparatus
JP2005087889 2005-03-25

Publications (2)

Publication Number Publication Date
CN1838387A CN1838387A (en) 2006-09-27
CN100487871C true CN100487871C (en) 2009-05-13

Family

ID=37015709

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100648170A Expired - Fee Related CN100487871C (en) 2005-03-25 2006-03-14 Etching method

Country Status (4)

Country Link
JP (1) JP4701776B2 (en)
KR (1) KR100801768B1 (en)
CN (1) CN100487871C (en)
TW (1) TWI399808B (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5378706B2 (en) * 2008-05-22 2013-12-25 東京エレクトロン株式会社 Plasma processing apparatus and processing gas supply apparatus used therefor
KR101913891B1 (en) 2011-09-27 2018-10-31 도쿄엘렉트론가부시키가이샤 Plasma etching method and method for manufacturing semiconductor device
JP5860668B2 (en) 2011-10-28 2016-02-16 東京エレクトロン株式会社 Manufacturing method of semiconductor device
CN103474320B (en) * 2012-06-06 2015-12-02 南亚科技股份有限公司 Plasma etching apparatus
CN103928284B (en) * 2013-01-15 2016-04-06 中微半导体设备(上海)有限公司 The method of testing of charge delivery mechanism and gas diverter thereof
JP6154677B2 (en) * 2013-06-28 2017-06-28 東京エレクトロン株式会社 Cleaning method and processing apparatus
CN103779166A (en) * 2014-01-17 2014-05-07 北京京东方光电科技有限公司 Etching device reaction chamber electrode and etching device
JP6423643B2 (en) * 2014-08-08 2018-11-14 東京エレクトロン株式会社 Method for etching a multilayer film
JP6408903B2 (en) * 2014-12-25 2018-10-17 東京エレクトロン株式会社 Etching processing method and etching processing apparatus
JP6529357B2 (en) 2015-06-23 2019-06-12 東京エレクトロン株式会社 Etching method
JP6920244B2 (en) 2018-04-23 2021-08-18 東京エレクトロン株式会社 Plasma processing method
JP6910319B2 (en) 2018-04-23 2021-07-28 東京エレクトロン株式会社 How to etch the organic region
JP7253729B2 (en) * 2018-10-01 2023-04-07 キオクシア株式会社 Gas generation method and etching apparatus
KR102641752B1 (en) * 2018-11-21 2024-03-04 삼성전자주식회사 Gas injection module, substrate processing apparatus and method for manufacturing semiconductor device using the same
US10872788B2 (en) * 2018-11-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etch apparatus and method for using the same
CN110729161A (en) * 2019-10-21 2020-01-24 上海华虹宏力半导体制造有限公司 Plasma etching device
CN112951696B (en) * 2019-12-10 2024-04-09 中微半导体设备(上海)股份有限公司 Plasma processing equipment, gas baffle structure thereof and plasma processing method
JP2021125504A (en) * 2020-02-03 2021-08-30 株式会社アルバック Plasma etching method and plasma etching device
KR20210125155A (en) * 2020-04-07 2021-10-18 삼성디스플레이 주식회사 Method for manufacturing a display apparatus
CN111668086B (en) * 2020-07-14 2023-04-14 北京北方华创微电子装备有限公司 Semiconductor device and gas supply control method thereof
CN112496556B (en) * 2020-12-01 2022-05-17 强一半导体(苏州)有限公司 MEMS probe laser etching motor and four-dimensional table driving method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
JPH0463424A (en) * 1990-07-02 1992-02-28 Ryoden Semiconductor Syst Eng Kk Reactive ion etching device
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JP3360265B2 (en) 1996-04-26 2002-12-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US5811357A (en) * 1997-03-26 1998-09-22 International Business Machines Corporation Process of etching an oxide layer
JPH1116888A (en) * 1997-06-24 1999-01-22 Hitachi Ltd Etching device and operation method therefor
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP4482308B2 (en) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2005072205A (en) * 2003-08-22 2005-03-17 Seiko Epson Corp Thermal treatment method, method of forming wiring pattern, electro-optical device, its manufacturing method, and electronic apparatus
JP4550507B2 (en) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP4410117B2 (en) * 2005-01-18 2010-02-03 東京エレクトロン株式会社 Gas setting method, gas setting device, etching device and substrate processing system

Also Published As

Publication number Publication date
KR20060103167A (en) 2006-09-28
TWI399808B (en) 2013-06-21
KR100801768B1 (en) 2008-02-11
TW200644116A (en) 2006-12-16
JP2006269879A (en) 2006-10-05
JP4701776B2 (en) 2011-06-15
CN1838387A (en) 2006-09-27

Similar Documents

Publication Publication Date Title
CN100487871C (en) Etching method
US7371332B2 (en) Uniform etch system
TWI605514B (en) Dry-etch for selective tungsten removal
KR101223819B1 (en) Plasma etching method and plasma etching device
TWI618144B (en) Selective titanium nitride removal
TWI660420B (en) Enhanced etching processes using remote plasma sources
TWI530995B (en) Methods for etch of sin films
TWI520212B (en) Selective titanium nitride etching
US9368364B2 (en) Silicon etch process with tunable selectivity to SiO2 and other materials
KR101427505B1 (en) Mask pattern formation method and manufacturing method for semiconductor device
CN102169823B (en) The manufacture method of semiconductor device and plasma-etching apparatus
JP2017098478A (en) Etching method
CN100521111C (en) Plasma etching method
CN100485883C (en) Plasma ashing method
US7344996B1 (en) Helium-based etch process in deposition-etch-deposition gap fill
TWI805644B (en) Systems and methods for depositing a homogenous interface for pecvd metal-doped carbon hardmasks
CN102347231A (en) Plasma processing method and plasma processing apparatus
TW200305216A (en) Method of etching and etching apparatus
TWI716818B (en) Systems and methods to form airgaps
TW201832266A (en) Plasma treatment device and plasma treatment method
JPH0766186A (en) Anisotropic depositing method of dielectric
CN110391140A (en) Engraving method and plasma processing apparatus
CN100365772C (en) Method for manufacturing a semiconductor device
CN100426473C (en) Plasma etching method and plasma etching apparatus
TWI797134B (en) Plasma processing method and plasma processing apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090513

CF01 Termination of patent right due to non-payment of annual fee