CN100337212C - Logic verification system and method - Google Patents

Logic verification system and method Download PDF

Info

Publication number
CN100337212C
CN100337212C CNB2004100546267A CN200410054626A CN100337212C CN 100337212 C CN100337212 C CN 100337212C CN B2004100546267 A CNB2004100546267 A CN B2004100546267A CN 200410054626 A CN200410054626 A CN 200410054626A CN 100337212 C CN100337212 C CN 100337212C
Authority
CN
China
Prior art keywords
module
logic
excited data
write
hardware
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100546267A
Other languages
Chinese (zh)
Other versions
CN1725188A (en
Inventor
陈如阳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Huawei Technologies Co Ltd
Original Assignee
Huawei Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Huawei Technologies Co Ltd filed Critical Huawei Technologies Co Ltd
Priority to CNB2004100546267A priority Critical patent/CN100337212C/en
Publication of CN1725188A publication Critical patent/CN1725188A/en
Application granted granted Critical
Publication of CN100337212C publication Critical patent/CN100337212C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

The present invention discloses a logic verification system which comprises an excitation generating module, a tested logic module, a result analysis module, a testing interface module, a memory module and a bus functional module of hardware realization. The present invention also provides a corresponding logic verification method which comprises that excitation data which is needed by a test is generated; when a simulation verification and a hardware system verification are carried out, the excitation data is respectively written in logic chips which need verifying through different interfaces; the logic is verified whether or not is correct according to an output result of the logic chips. The present invention can be used for making the excitation data in a simulation stage repeatedly used when the excitation data is verified in a hardware system for reducing repeated development work and enhancing development efficiency.

Description

Logic verification system and method
Technical field
The present invention relates to the Electronic Testing Technology field, be specifically related to a kind of logic verification system and method.
Background technology
Programmable logic device (PLD) (PLD) has experienced PAL (special-purpose array logic), GAL (generic array logic), CPLD (CPLD) and the several developing stage of FPGA (field programmable gate array).Use PLD to have numerous advantages such as flexible design, debugging convenience, system reliability height, and help the protection of hardware design, prevent other people to the analysis of circuit, copy, make it become the preferred option of scientific experiment, advanced development and be pilot.Therefore, programmable logic device (PLD) has obtained using widely in data communication system.Use programmable logic device (PLD) to carry out in the development and Design of system and equipment, needing checking to realize the correctness of logic.The general employing to tested logic of extensive logical simulation checking sends excited data, receives the data through logical process then, and the correctness of the data that receive by inspection reaches the purpose that verifying logic is handled correctness.In proof procedure, need carry out the work in two stages usually: logical simulation checking and hardware system checking.Usually, the work in these two stages is independently carried out: make up an emulation platform in simulation stage and verify, by computing machine logic is carried out emulation; And, be that the logic chip that designs is verified by testing tool at the Qualify Phase of hardware system.The checking in two stages is independent fully on framework.
The emulation platform that simulation stage makes up is shown in 1: wherein, excitation can produce with senior language such as C language, BFM is the bus functionality module, be responsible for the generation and the identification of interface sequence, the reception of excited data and transmission, finish the conversion of excited data to bus timing, the excited data that produces is mapped in the tested logic, BFM generally realizes with Verilog or VHDL (hardware description language) language.Its proof procedure is: the excited data that produces is sent to tested logic module by the interface sequence that BFM bus functionality module produces, check that then the response results of tested logic module comes verifying logic whether correct.
The checking framework of hardware system is as shown in Figure 2: need produce excitation by means of testing tool or other-end, be sent to tested logic by hardware system then, the test and excitation that provides test to need by testing tool.
Usually, testing tool or terminal can not provide all types of excitations, and therefore a lot of situations are to need the required special excitation of structure checking in addition.Simultaneously, because above-mentioned two kinds of verification methods are separate, excited data form difference, make the required special incentive of hardware system checking can not inherit the excited data that produces when using simulating, verifying easily, must redesign, write excitation and produce code, cause the duplication of labour, thereby reduced development efficiency, improved cost of development.
Summary of the invention
The object of the present invention is to provide a kind of logic verification system and method, can not reuse shared shortcoming, improve the logic checking work efficiency to overcome in the prior art in the checking of simulating, verifying and hardware system resource.
The objective of the invention is to be achieved through the following technical solutions:
A kind of logic verification system comprises: excitation generation module, tested logic module, and results analyses module, wherein,
Described excitation generation module is used to produce the required excited data of test;
Whether described results analyses module is used for according to the output result verification logic of described tested logic module correct;
Described system also comprises: software interface module, hardware interface module, memory module, bus functionality module; Wherein,
Described software interface module is used for described tested logic module is carried out simulation stage when checking, and the excited data that described excitation generation module is produced writes described memory module;
Described hardware interface module is used for described tested logic module is carried out hardware system when checking, and the excited data that described excitation generation module is produced writes described memory module;
Described memory module is used to store described excited data;
Described bus functionality module is used to produce the logic interfacing sequential, and the excited data of described memory module stores is write described tested logic module according to described logic interfacing sequential.
Described software interface module is specially: the PLI interface.
Described hardware interface module is specially: computer bus interface or local bus interface.
Described memory module is specially: memory model, hardware memory or tested logic module internal storage.
Described bus functionality module is specially: programmable logic chip.
A kind of logic verification method comprises:
A, the required excited data of generation test;
B, when simulating, verifying, with described excited data write store module, the excited data in the described memory module is write the logic chip that needs checking;
C, when hardware system is verified, with described excited data write store module, the excited data in the described memory module is write the logic chip that needs checking;
D, whether correct according to the output result verification logic of described logic chip.
Excited data among the described step B is to write the logic chip that needs checking by software interface; Excited data among the described step C is to write the logic chip that needs checking by hardware interface.
Described step B specifically comprises:
B1, with described excited data write store model;
B2, generation logic interfacing sequential;
B3, the excited data in the described memory model is write described logic chip according to described logic interfacing sequential.
Described step C specifically comprises:
C1, described excited data is write hardware memory;
C2, utilize the piece in the bus merit memory module to produce the logic interfacing sequential;
C3, the excited data in the described hardware memory is write described logic chip according to described logic interfacing sequential.
Described bus functionality module is specially programmable logic chip.
By above technical scheme provided by the invention as can be seen, the present invention is with the checking of two different phases in the logic checking process: the framework of simulating, verifying and hardware system checking is unified, make the excited data of simulation stage when hardware system is verified, to reuse, share and exploit natural resources, reduce overlapping development work, improved development efficiency; And having solved the test case that testing tool and terminal device provided has in limited time, and the problem of abundant checking can not be provided hardware system, has guaranteed that checking work fully, efficiently.
Description of drawings
Fig. 1 is a simulation and verification platform configuration diagram in the prior art;
Fig. 2 is the checking configuration diagram of hardware system in the prior art;
Fig. 3 is system group network figure of the present invention;
Fig. 4 is the networking diagram of system of the present invention when logic is carried out simulating, verifying;
Fig. 5 is the process flow diagram of the inventive method when logic is carried out simulating, verifying;
The process flow diagram of Fig. 6 when to be the inventive method to logic carry out the hardware system checking.
Embodiment
Core of the present invention is the common platform at simulating, verifying of logical design and hardware system checking, makes it be applicable to simulating, verifying and hardware system checking by different interface conversion, and the checking in two stages is used with a kind of excited data.
In order to make those skilled in the art person understand the present invention program better, the present invention is described in further detail below in conjunction with drawings and embodiments.
With reference to Fig. 3, Fig. 3 is system group network figure of the present invention:
Comprise: excitation generation module 301, test interface module 300, memory module 302, bus functionality module 103, tested logic module 304 and results analyses module 305.Wherein,
Excitation generation module 301 is used to produce the required excited data of test; Can produce with the high level language program, such as: the C language.
Test interface module 300 is used for the excited data that the excitation generation module produces is write described memory module;
Memory module 302 is used to store excited data;
Bus functionality module 303 is used to produce the logic interfacing sequential, and excited data write described logic chip according to described logic interfacing sequential, the bus functionality module can be used existing packaged BFM (bus functionality module), also can construct the BFM of oneself based on bus-structured sequential chart.
Tested logic module 304 is the logic chips that need test;
Whether results analyses module 305 is used for according to the output result verification logic of described tested logic module correct.
Test interface module 300 comprises: software interface module 310 and hardware interface module 320.Wherein,
Software interface module 310 is used for tested logic module is carried out simulation stage when checking, the excited data write store module that will the excitation generation module produces;
Hardware interface module 320 is used for tested logic module is carried out hardware system when checking, the excited data write store module that will the excitation generation module produces.
The present technique field personnel know, when RAM (random access memory) production firm produces the RAM chip, the capital provides the RAM emulation RAM model of Verilog HDL (hardware description language) language compilation, use when the Computer Simulation for the user, this model can be simulated the function of respective model RAM chip fully.The function of simulation RAM chip when the effect of RAM model is Computer Simulation.Actual when making hardware system, just need RAM chip with producer.
All comprise the RAM resource in the present fpga chip, the RAM model that also can use these fpga chips to provide during Computer Simulation carries out emulation, makes after the hardware, and these RAM are commonly referred to as chip internal RAM in FPGA inside.
Based on the characteristics of above-mentioned RAM model and fpga chip internal RAM, when simulating, verifying, memory module is used the RAM model, the RAM that the hardware system Qualify Phase uses hardware RAM or tested logic chip inside to provide; Can hard-wired bus functionality module be, this program is injected programmable logic device (PLD) with Verilog HDL (hardware description language) written program, such as: among the FPGA (field programmable logic device), promptly become attainable hardware module.For example: with counter of Verilog HDL language design, after emulation on computers is correct, with this program code compiling, write fpga chip then, so such fpga chip just can be finished the function of counter.Verilog HDL language provides the programming language interface, can comprise the concrete control and the operation of simulation from the design of design external reference during simulation, checking by this interface.Verilog HDL language has not only defined grammer, and each syntactic structure has all been defined simulation, emulation semanteme clearly.Therefore, the model with this language compilation can use the Verilog emulator to verify.
When carrying out simulating, verifying, at first produce needed excited data by the excitation generation module, according to the difference of tested object, the excited data of generation is also different; Then, by software interface module the excited data that produces is write the RAM memory model, software interface module can be used PLI (programming language interface) interface; Then, by can hard-wired bus functionality module the excited data in the RAM model being write tested logic module in proper order according to the interface sequence of logic; Output result according to tested logic module analyzes by results analyses module, verifies whether tested logic is correct.
The checking of simulation stage can all be carried out on computers, by emulator to the required software interface program of required excitation generating routine, the software interface module of excitation generation module and can compile by the required bus interface timing generating routine of hard-wired bus interface module, emulation is carried out, real system as shown in Figure 4, the function of interpretation of result device also can be finished by computing machine.
When hardware system is verified, above-mentioned used program Solidification in each corresponding module, is produced needed excited data by the excitation generation device, reuse the design code of simulation stage fully; Then, pass through hardware
Interface module (cpu i/f) writes the hardware memory module with excited data, and cpu i/f can adopt PCI (computer bus interface), also can adopt local bus interface; By hard-wired bus functionality module excited data is write tested logic module in proper order according to the interface sequence of logic again; Output result according to tested logic module analyzes by results analyses module, verifies whether tested logic is correct.
For example: when utilizing system of the present invention to the Ethernet logic checking:
At first, utilize the C code to produce many ethernet frame datas by the excitation generation module.
When Computer Simulation, with these ethernet frame datas, deposit the RAM model by software interface earlier in, by BFM (bus functionality module) these data are read then, send to Ethernet chip by the sequential of stipulating.
And when hardware system is tested, writing the RAM of FPGA inside by cpu i/f, the BFM module that has been made in chip internal is then read these data, and sends the data to Ethernet chip.
With reference to Fig. 5, Fig. 5 shows the flow process of in the inventive method logic being carried out simulating, verifying, may further comprise the steps:
Step 501: produce the required excited data of test;
Step 502: with described excited data write store model;
Step 503: utilize software to produce described logic interfacing sequential;
Step 504: the excited data in the memory model is write logic chip according to the logic interfacing sequential;
Step 505: whether the output result verification logic according to logic chip is correct.
Refer again to Fig. 6, Fig. 6 shows the flow process of in the inventive method logic being carried out the hardware system checking, may further comprise the steps:
Step 601: produce the required excited data of test;
Step 602: described excited data is write hardware memory;
Step 603: utilization can hard-wired bus functionality module produce the logic interfacing sequential, can hard-wired bus functionality module utilize hardware description language to programmable logic chip programming realization;
Step 604: the excited data in the hardware memory is write logic chip according to the logic interfacing sequential;
Step 605: whether the output result verification logic according to logic chip is correct.
Though described the present invention by embodiment, those of ordinary skills know, the present invention has many distortion and variation and do not break away from spirit of the present invention, wish that appended claim comprises these distortion and variation and do not break away from spirit of the present invention.

Claims (10)

1, a kind of logic verification system comprises: excitation generation module, tested logic module, and results analyses module, wherein,
Described excitation generation module is used to produce the required excited data of test;
Whether described results analyses module is used for according to the output result verification logic of described tested logic module correct;
It is characterized in that, also comprise: software interface module, hardware interface module, memory module, bus functionality module; Wherein,
Described software interface module is used for described tested logic module is carried out simulation stage when checking, and the excited data that described excitation generation module is produced writes described memory module;
Described hardware interface module is used for described tested logic module is carried out hardware system when checking, and the excited data that described excitation generation module is produced writes described memory module; Described memory module is used to store described excited data;
Described bus functionality module is used to produce the logic interfacing sequential, and the excited data of described memory module stores is write described tested logic module according to described logic interfacing sequential.
2, logic verification system according to claim 1 is characterized in that, described software interface module is specially: the PLI interface.
3, logic verification system according to claim 1 is characterized in that, described hardware interface module is specially: computer bus interface or local bus interface.
4, logic verification system according to claim 1 is characterized in that, described memory module is specially: memory model, hardware memory or tested logic module internal storage.
5, logic verification system according to claim 1 is characterized in that, described bus functionality module is specially: programmable logic chip.
6, a kind of logic verification method is characterized in that, comprising:
A, the required excited data of generation test;
B, when simulating, verifying, with described excited data write store module, the excited data in the described memory module is write the logic chip that needs checking;
C, when hardware system is verified, with described excited data write store module, the excited data in the described memory module is write the logic chip that needs checking;
D, whether correct according to the output result verification logic of described logic chip.
7, logic verification method according to claim 6 is characterized in that, the excited data among the described step B is to write the logic chip that needs checking by software interface; Excited data among the described step C is to write the logic chip that needs checking by hardware interface.
8, logic verification method according to claim 7 is characterized in that, described step B specifically comprises:
B1, with described excited data write store model;
B2, generation logic interfacing sequential;
B3, the excited data in the described memory model is write described logic chip according to described logic interfacing sequential.
9, logic verification method according to claim 7 is characterized in that, described step C specifically comprises:
C1, described excited data is write hardware memory;
C2, utilize the bus functionality module to produce the logic interfacing sequential;
C3, the excited data in the described hardware memory is write described logic chip according to described logic interfacing sequential.
10, logic verification method according to claim 9 is characterized in that, described bus functionality module is specially programmable logic chip.
CNB2004100546267A 2004-07-22 2004-07-22 Logic verification system and method Expired - Fee Related CN100337212C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2004100546267A CN100337212C (en) 2004-07-22 2004-07-22 Logic verification system and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2004100546267A CN100337212C (en) 2004-07-22 2004-07-22 Logic verification system and method

Publications (2)

Publication Number Publication Date
CN1725188A CN1725188A (en) 2006-01-25
CN100337212C true CN100337212C (en) 2007-09-12

Family

ID=35924670

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100546267A Expired - Fee Related CN100337212C (en) 2004-07-22 2004-07-22 Logic verification system and method

Country Status (1)

Country Link
CN (1) CN100337212C (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100419706C (en) * 2006-09-29 2008-09-17 北京中星微电子有限公司 Method and system for testing chip
CN100432950C (en) * 2006-11-28 2008-11-12 上海华为技术有限公司 Functional device on-stream state detecting method and equipment
US8229727B2 (en) 2007-01-09 2012-07-24 International Business Machines Corporation System and method for incorporating design behavior and external stimulus in microprocessor emulation model feedback using a shared memory
CN201037935Y (en) * 2007-05-31 2008-03-19 北京威讯紫晶科技有限公司 Developing and checking device for universal chip
CN101916305A (en) * 2010-07-19 2010-12-15 无锡汉咏微电子有限公司 Method for verifying complex pin chip
CN102201022A (en) * 2011-04-22 2011-09-28 青岛海信信芯科技有限公司 Method and device for checking field programmable gate array (FPGA)
CN102147831A (en) * 2011-04-22 2011-08-10 青岛海信信芯科技有限公司 Logic verification method and device
CN102214258B (en) * 2011-06-15 2013-03-06 福州瑞芯微电子有限公司 Verification platform aiming at image processing class of IP circuits
CN107271882B (en) * 2017-06-19 2019-07-26 中国科学院上海高等研究院 A kind of bypass authentication system and verification method based on ASIC verifying
CN110990112B (en) * 2019-10-31 2022-12-16 苏州浪潮智能科技有限公司 Method and device for realizing interface simulation platform
CN111061629A (en) * 2019-11-21 2020-04-24 中国航空工业集团公司西安航空计算技术研究所 Graphic command pre-decoding unit verification platform based on Verilog
CN111639470B (en) * 2020-05-28 2022-06-17 山东云海国创云计算装备产业创新中心有限公司 Simulation test method and system for processor cooperative chip and related components
CN111967209A (en) * 2020-08-21 2020-11-20 广芯微电子(广州)股份有限公司 SOC simulation verification method and device and storage medium
CN111985179A (en) * 2020-08-26 2020-11-24 上海磐启微电子有限公司 Design verification system and method for wireless communication chip
CN112464502B (en) * 2020-12-28 2022-02-01 芯天下技术股份有限公司 Optimization and acceleration memory simulation verification method and device, storage medium and terminal
CN112861455B (en) * 2021-03-12 2022-05-17 上海先基半导体科技有限公司 FPGA modeling verification system and method
CN113032203B (en) * 2021-03-24 2023-02-14 方湘艳 Programmable logic device accelerated testing device and method
CN113126926A (en) * 2021-04-21 2021-07-16 北京物芯科技有限责任公司 RAM access verification method, equipment and device
CN113312879B (en) * 2021-07-28 2021-11-09 北京燧原智能科技有限公司 Chip circuit function verification system, method, device and storage medium
CN113535496B (en) * 2021-07-30 2024-03-29 北京奕斯伟计算技术股份有限公司 Chip verification system and method
CN114662430B (en) * 2021-12-17 2023-07-25 芯华章科技股份有限公司 Regression testing method, equipment and storage medium for design to be tested

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6016563A (en) * 1997-12-30 2000-01-18 Fleisher; Evgeny G. Method and apparatus for testing a logic design of a programmable logic device
CN1472927A (en) * 2003-06-30 2004-02-04 北京港湾网络有限公司 Method for verifying wideband communication logic emulating platform design
CN1482661A (en) * 2002-09-11 2004-03-17 华为技术有限公司 Emulate testing system and testing method for universal digital circuit

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6016563A (en) * 1997-12-30 2000-01-18 Fleisher; Evgeny G. Method and apparatus for testing a logic design of a programmable logic device
CN1482661A (en) * 2002-09-11 2004-03-17 华为技术有限公司 Emulate testing system and testing method for universal digital circuit
CN1472927A (en) * 2003-06-30 2004-02-04 北京港湾网络有限公司 Method for verifying wideband communication logic emulating platform design

Also Published As

Publication number Publication date
CN1725188A (en) 2006-01-25

Similar Documents

Publication Publication Date Title
CN100337212C (en) Logic verification system and method
CN102508753B (en) IP (Internet protocol) core verification system
CN101051332A (en) Verifying system and method for SOC chip system grade
CN102855178B (en) Method and device for generating Mock base during unit test
WO2016197768A1 (en) Chip verification method, device, and system
CN1928816A (en) Model drive for embedded system software and component development method
CN1828617A (en) Software and hardware synergistic simulation/ validation system and vector mode simulation/ validation method
CN1229721C (en) In circuit emulated equipment using high-level programming language and method thereof
CN1928877A (en) Verification method for SOC software and hardware integration design
CN101063979A (en) MPU FPGA verification device supporting stochastic instruction testing
CN102567122A (en) Communication interface method of processor reference model under multiple simulation and verification platforms
CN101059773A (en) Bus model-based embedded system emulated platform
US20210406442A1 (en) Verification platform for system on chip and verification method thereof
CN103150441A (en) Software and hardware synergic simulation verification platform and construction method thereof
CN111427794A (en) Method, system and medium for accelerating simulation of storage component netlist
CN1560743A (en) Cooperative simulation experimental platform of multi medium processor
CN112906328B (en) FPGA prototype verification system generation method and system, and FPGA prototype verification method and system
CN112597718B (en) Verification method, verification device and storage medium for integrated circuit design
CN104714870A (en) Method for verifying large-scale interconnection chips based on BFM
CN1300838C (en) Circuit design checking and error diagnosis method containing black box
CN113139359B (en) Virtual simulation test method based on FPGA embedded processor
CN104679963A (en) Simulation and verification device and simulation and verification method based on TCL
CN117131824A (en) Method for automatically generating chip design RTL code, electronic equipment and medium
CN1945586A (en) Automatic construction system and method for electronic circuit design
CN115017845A (en) Bus driving type chip simulation excitation model for IP unit level verification

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070912

Termination date: 20150722

EXPY Termination of patent right or utility model