KR20090051759A - 다중 패터닝 공정을 위한 반사방지 이미지층 - Google Patents

다중 패터닝 공정을 위한 반사방지 이미지층 Download PDF

Info

Publication number
KR20090051759A
KR20090051759A KR1020097005529A KR20097005529A KR20090051759A KR 20090051759 A KR20090051759 A KR 20090051759A KR 1020097005529 A KR1020097005529 A KR 1020097005529A KR 20097005529 A KR20097005529 A KR 20097005529A KR 20090051759 A KR20090051759 A KR 20090051759A
Authority
KR
South Korea
Prior art keywords
image layer
substrate
light
layer
composition
Prior art date
Application number
KR1020097005529A
Other languages
English (en)
Other versions
KR101506353B1 (ko
Inventor
더글라스 제이. 게레로
라밀-마르셀로 메르까도
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20090051759A publication Critical patent/KR20090051759A/ko
Application granted granted Critical
Publication of KR101506353B1 publication Critical patent/KR101506353B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials For Photolithography (AREA)

Abstract

감광성 수지 조성물을 이중 패터닝하는 신규한 방법들이 제공되며, 이러한 방법들은 감광성 조성물을 기판에 도포하는 단계 및 조성물을 열로 가교화시키는 단계를 포함한다. 가교화된 층은 반사 제어를 제공하는데 사용될 수 있다. 빛에 노출시, 조성물에 있는 가교화된 고분자(또는 올리고머 또는 단량체)는 탈가교할 것이고, 빛에 노출된 부분을 전형적인 포토레지스트 현상액(예를 들면, 알칼라인 현상액)에 용해하게 한다. 유리하게, 조성물의 가교화된 부분들은 감광성 조성물을 형성하는데 사용된 용매에 용해하지 않고 남아있다. 결과적으로, 코팅, 리소그래피(lithographic) 및 현상 단계들 중 적어도 하나는 초기에 형성된(earlier-formed) 패턴을 파괴하지 않고 특별한 공정에 따라 순서를 바꾸면서 여러 차례 반복될 수 있다.

Description

다중 패터닝 공정을 위한 반사방지 이미지층 {ANTI-REFLECTIVE IMAGING LAYER FOR MULTIPLE PATTERNING PROCESS}
본 발명은 열에 의해 가교할 수 있는 감광성 조성물을 이용하는 신규한 이중 패터닝 방법들에 광범위하게 관련이 있다.
포토리소그래피(photolithography) 공정을 개선하려는 경향은 높은 개구수(numerical aperture, NA)의 툴(tool)들 및 침수 유체들 중 적어도 하나를 사용하는 것을 포함한다. 자체로 또는 침수와 조합하여 높은 NA 성능(>1.0)을 갖는 이미지 툴들을 사용하는 것은 보다 작은 임계 치수와 보다 높은 밀도를 갖는, 패턴의 보다 높은 해상도(resolution)를 구현하기 위한 방법을 제공한다. 이러한 향상은 이미지 층(imaging layer)으로 전달될 수 있는 과량의 빛 때문에 가능하다. 그러나, 이를 위해서는 매우 비용이 많이 들고 새로운 툴 세트(tool set)들을 필요로 한다.
다중-패터닝 기술들은 고-밀도 리소그래피를 구현하려고 시도하는데 사용되어왔다. 그러나, 이러한 기술들은 포토레지스트 패턴들이 반복된 포토레지스트 도포(photoresist application)에 의해 파괴된다는 점에서 결점을 가진다.
현재 이용가능한 장비를 사용하여 보다 높은-밀도의 패터닝을 허용하는 개선 된 방법들이 필요하다.
본 발명은 마이크로전자 구조(microelectronic structure)를 형성하는 방법을 광범위하게 제공함으로써 이들 문제들을 극복한다. 이 방법은 기판 표면에 인접한 이미지 층을 형성하기 위하여 기판의 표면에 감광성 조성물을 도포하는 단계를 포함한다. 그 다음에 이미지 층에 있는 성분들(예를 들면, 고분자, 올리고머, 화합물)은 가교화되고, 이미지 층은 빛에 노출되어 이미지 층에서 빛에 노출된 부분들을 생성하는데, 노출된 부분들은 이러한 빛 노출의 결과로서 화학적으로 개질되어 있다. 기판으로부터 빛에 노출된 부분들을 제거하고 패턴된 이미지 층을 형성하기 위하여, 이미지 층은 현상액, 바람직하게는 수성 알칼리 현상액과 접촉된다.
그 다음에 제2 감광성 조성물이 첨가되어 패턴된 이미지 층 위에 제2 이미지 층을 형성한다. 이것은 패턴된 이미지 층을 먼저 가열하지 않고 이루어지는데, 이러한 가열은 종래기술의 공정에서 필수적이었다. 그 다음에 제2 이미지 층은 패턴화되고 현상될 수 있으며, 이러한 코팅/패터닝/현상 공정은 원한다면 여러 차례 반복될 수 있다. 공정이 충분히 반복되어서 이미지 층에 원하는 패턴을 형성한 후에, 패턴은 기판에 전달될 수 있다.
또 하나의 실시예에서, 가교화된 이미지 층은 빛에 노출되어 이미지 층에서 빛에 노출된 부분들을 생성한다. 그 다음에, 층은 한번 또는 그 이상의 추가적인 빛-노출 단계를 거치고, 각 단계는 이미지 층에 빛에 노출된 부분들을 더 많이 생성한다. 원하는 만큼 노출을 진행한 후, 단일 현상 단계가 빛에 노출된 부분들 모두를 제거하기 위하여 수행될 수 있고, 결국 기판에 전달될 수 있는 패턴된 이미지 층을 생성한다. 대안으로, 다음 번의 빛 노출 단계 이전에 빛에 노출된 부분들을 제거하기 위하여, 현상 단계가 각각의 빛 노출 단계 후에 수행될 수 있다.
방법의 발명
도 1은 발명의 공정의 하나의 실시예를 도시한다. 상부 표면(12)을 갖는 기판(10)이 제공된다. 다음 중 하나 또는 그 이상을 포함하는 기판을 포함한, 종래의 마이크로전자 기판이 사용될 수 있다: 실리콘, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 비소, 게르마늄, 탄탈륨, 탄탈륨 질화물, SiGe, 및 전술한 것의 혼합물.
단계 (a)에 도시된 바와 같이, 열로 가교할 수 있고 광화학적으로 탈가교할 수 있는 조성물이 표면(12)에 도포되어 상부 표면(16)을 갖는 이미지 층(14)을 형성한다. 조성물은 공지된 도포 방법에 의하여 도포될 수 있으며, 하나의 바람직한 방법은 약 750rpm 내지 약 3,500rpm(바람직하게는 약 1,000rpm 내지 약 2,500rpm)의 속도로 약 10초 내지 약 120초(바람직하게는 약 20초 내지 약 60초)의 시간 동안 조성물을 회전-코팅하는 것이다.
그 다음에 층(14)은 층(14)의 열 가교화를 일으키기 위하여 베이크된다. 바람직한 베이킹 조건(baking condition)들은 적어도 약 100℃, 바람직하게는 약 100℃ 내지 약 250℃ 및 더욱 바람직하게는 약 120℃ 내지 약 200℃의 온도 및 약 10초 내지 약 90초의 시간 주기를 포함한다. 가교화된 이미지 층(14)의 두께는 전형적으로 약 10nm 내지 약 150nm일 것이고 바람직하게는 약 30nm 내지 약 80nm일 것이다.
가교화된 층(14)은 충분히 가교화되어, 가교화된 층은 전형적인 포토레지스트 용매[층(14)을 형성하는데 사용된 감광성 조성물에 존재했던 용매를 포함함]에 실질적으로 불용성일 것이다. 따라서, 제거 시험(stripping test)을 거치면, 가교화된 층(14)은 약 5%보다 작은, 바람직하게는 약 1%보다 작은, 및 더욱 바람직하게는 약 0%의 제거 백분율(percent stripping)을 가질 것이다. 제거 시험은 (5개의 서로 다른 위치에서 측정값의 평균을 구함으로써) 경화된 층의 두께를 먼저 결정하는 단계를 포함한다. 이것이 초기 평균 필름 두께이다. 그 다음, 용매(예를 들면, 에틸 락테이트, PGME, PGMEA)를 약 10초 동안 경화된 필름 위에 바른 후, 용매를 제거하기 위하여 약 2,000-3,500rpm으로 약 20-30초 동안 회전 건조시킨다. 타원편광법(ellipsometry)을 사용하여 웨이퍼(wafer) 상의 5개의 서로 다른 지점들에서 다시 두께를 측정하고, 이들 측정값들의 평균이 결정된다. 이것이 최종 평균 필름 두께이다.
제거된 양은 초기와 최종 평균 필름 두께간의 차이이다. 제거 백분율은:
% 제거 = (제거된 양/초기 평균 필름 두께) X 100 이다.
도 1의 실시예에서, 층(14)을 가장 잘 사용하는 것은 전형적으로 단순히 이미지 층으로 사용하는 것이다. 이러한 예들에서, k 값은(복소 굴절율의 허수 성분) 바람직하게 약 0 내지 약 0.5이다.
단계 (b)에서, 마스크(18)는 이미지 층(14)의 표면(16) 위에 배치되고, 빛(20)을 마스크(18)에 비춘다. 마스크(18)는 빛이 마스크(18)를 통과하게 하고 이미지 층(14)의 표면(16)에 접촉하게 하도록 설계된 개방 영역(22)들을 갖는다. 마스크(18)의 남아있는 고체 부분(24)들은 특정 영역들에 있는 이미지 층(14)의 표면(16)에 빛이 접촉하지 못하도록 설계된다. 당업자들은 개방 영역들(22)과 고체 부분들(24)의 배치가 이미지 층(14)에 그리고 궁극적으로는 기판 표면(12)에 형성되도록 원하는 패턴에 기초하여 설계되는 것을 쉽게 이해할 것이다. 본 발명의 공정은 자외선의 대부분의 파장들과 함께 사용될 수 있으나, 157nm, 193nm, 248nm 및 365nm의 파장들이 가장 바람직하다.
빛에 노출되었을 때, 빛에 노출된 이미지 층(14)의 부분(26)들이 광화학적 반응을 일으켜서 그 결과 층(14)이 광화학적으로 탈가교화된다. 더욱 특히, 산은 빛에 노출시 PAG로부터 생성되고 이러한 산은 층에 있는 고분자, 올리고머 또는 화합물을 "탈가교화시킨다(decrosslink)". 즉, 산은 열 가교화시 고분자, 올리고머 또는 화합물과 가교제 사이에 형성되었던 결합의 파괴를 촉진시킨다. 빛 노출 후, 이미지 층(14)은 바람직하게 노출후 베이크 단계를 거친다(본원에 사용된, 노출후 베이크(post-exposure bake)는 약 150℃보다 낮은, 바람직하게는 140℃보다 낮은, 및 더욱 바람직하게는 약 90℃ 내지 약 140℃의 온도에서 약 30초 내지 약 90초의 시간 동안 수행됨).
유리하게, 상기 단계들에서 현상액 가용성으로 만들어졌던 빛-노출 부분(26)은 단계 (c)에 도시된 바와 같이 현상액과 접촉한다. 현상액은 이미지 층(14)의 부분(26)들을 제거하여 개구(28)들을 남긴다. 개구(28)들은 구멍(hole), 트렌치(trench), 공간(space) 등등일 수 있고, 결국 기판(10)에 전달될 것이다. 본원에 사용된 "현상액 가용성(developer soluble)"은 빛에 노출되었던 부분(26)들이 테트라메틸 암모늄 하이드록사이드 및 KOH 현상액들과 같은 종래의 수성 현상액으로 실질적으로 제거될 수 있음을 의미한다. 적어도 약 95%, 바람직하게는 적어도 약 99%, 및 더욱 바람직하게는 100%의 부분들이 테트라메틸 암모늄 하이드록사이드 및 KOH 현상액 중 적어도 하나와 같은 염기성 현상액에 의하여 제거될 것이다.
발명의 공정은 이중-패터닝 공정이라는 점에서 특히 유익하다. 즉, 코팅되었고, 리소그래피를 거치게 되었고, 현상되었던 스택(stack, 30)은 이제 또 다른 패턴들을 만들기 위하여 한번 더 코팅되고, 리소그래피를 거치게 되고 및 현상될 수 있다. 단계 (d)를 참조하면, 열로 가교할 수 있고 광화학적으로 탈가교할 수 있는 조성물은 상부 표면(34)을 갖는 제2 이미지 층(32)을 형성하기 위하여 도포된다. 이미지 층(14)이 가교화되었기 때문에, 이러한 제2 코팅 단계는 사전에-형성된 패턴을 여전히 온전하게 유지시키면서 이루어질 수 있다. 게다가, 이러한 이미지 층은 추가적인 가열(경화) 단계가 이미지 층(14) 상에서 수행될 필요 없이 온전히 남아있다. 따라서, 본 출원의 이러한 실시예 및 모든 실시예에서, 가열 단계들은 열 가교화 동안에 그리고 노출후 베이크 단계 동안에만 일어난다.
조성물 도포는 단계 (a)에 대해 상기에서 기술된 것과 유사하다. 게다가, 조성물 도포는 초기 단계 (a)에서 사용된, 열로 가교할 수 있고 광화학적으로 탈가교할 수 있는 조성물과 동일한 조성물을 전형적으로 사용하지만, 이것이 필수적이지는 않고, 원한다면 상이한 조성물들(예를 들면, 제2 감광성 조성물을 위한 종래의 포토레지스트)이 사용될 수 있다. 단계 (a)에서 기술된 것과 동일한 베이킹 조건들을 따를 것이며, 남아있는 이미지 층(14) 중 가장 높은 부분의 최상부 상에서의 제2 이미지 층(32)의 두께 "T"는 또한 단계 (a)에서 상기 기술된 바와 동일하다.
단계 (e)에서, 원하는 패턴을 갖는 마스크(36)는 제2 이미지 층(32)의 표면(34) 위에 배치되고, 빛 노출이 상기 단계 (b)에서 기술된 바와 같이 반복된다. 노출된 부분(38)들이 제2 이미지 층(32)에서 형성되고 스택(40)은 바람직하게 노출후 베이크 단계를 거치게 된 다음에 현상액과 접촉한다[단계 (f)]. 이 단계는 노출된 부분(38)들을 제거시켜서 개구(42)들을 남긴다. 마찬가지로, 이러한 개구(42)들은 구멍, 트렌치 또는 공간일 수 있다. 기판 표면(12) 상에 남아있는 양각 부분(raised portion, 44)들은 선 또는 양각 형태라고 전형적으로 언급된다. 구멍, 트렌치, 공간, 선 및 양각 형태의 이러한 패턴은 결국 기판(10)에 전달될 것이다.
상기 코팅, 베이킹, 빛 노출, 선택적 노출후 베이킹 및 현상 단계들은 원하는 만큼 그리고 특정한 패턴(46)이 기판 표면(12)에 형성되는 것이 가능한 만큼 여러 차례 반복될 수 있다. 기판(10)과 기판 표면(12) 상의 패턴(46)을 포함하는 스택(48)은 그 다음 에칭 공정(예를 들면, 플라즈마 에치)을 거치게 되며, 이로써 패턴(46)은 기판(10)에 전달되어, 결과적으로 기판(10)은 이제 개구(42)들 및 기판에 형성된 부분(44)들을 포함한다. 공정에 있어서 이러한 시점 이전에 에칭 단계를 수행할 필요가 없음이 이해될 것이다. 즉, 단계들 (a)-(f)는 에칭이 일어나지 않고 수행된다. 약 50nm보다 작은 절반 피치 치수(half pitch dimension)는 이러한 방법으로 구현될 수 있다. 그 다음 패턴된 기판은 또 다른 가공 단계들(예를 들면, 금속화반응)을 거치게 된다.
도 2는 발명 공정의 제2 실시예를 도시한다. 동일한 번호매김은 유사한 물질들을 나타내기 위하여 모든 실시예들에 사용된다. 도 1에서 사용된 동일한 공정 조건들(예를 들면, 회전 속도, 온도, 기간, 파장) 및 조성물, 기판, 현상액 및 마스크가 이 실시예에서 사용된다.
도 2의 실시예에서, 상부 표면(47)을 갖는 포토레지스트(45)는 이미지 층(12)의 열 가교화 후에 이미지 층(12)의 상부 표면(16)에 도포된다(예를 들면, 회전-코팅에 의함). 노출 단계 (b) 동안, 빛은 또한 레지스트(45)의 부분(27)을 화학적으로 변화시켜서 그것을 전형적인 현상액에 더욱 용해할 수 있게 만든다. 이러한 노출 단계 (b)(및 선택적 노출후 베이크 단계) 후에, 스택은 현상되지 않고 그 대신에 즉시 제2 노출 단계 (c)를 거치게 되고, 여기서 또 하나의 마스크(36)가 이미지 층(26)과 포토레지스트(45)에 제2 패턴을 생성하기 위하여 사용된다. 원한다면, 이것은 다른 마스크들을 사용하는 추가의 노출 단계들을 수반할 수 있다. 일단 원하는 수의 노출 단계들이 수행되면, 이미지 층(14)과 포토레지스트(45)가 현상되어[단계 (d)] 패턴된 층(46)을 생성한다. 그 다음에 종래의 에칭, 금속화반응(metallization) 등등이 장치 제조를 완료하기 위하여 수행될 수 있다.
도 3의 실시예에서, 도 2에서와 같이 포토레지스트(45)가 또한 사용된다. 노출 단계 (b) 후에, 스택은 도 1의 실시예와 유사하게 현상된다[단계 (c)]. 그러나, 이미지 층(14)과 포토레지스트(45)는 도 1의 실시예에서 행해졌던 것과 같이 제2 코팅 단계를 거치게 되는 것보다 제2 마스크(36)를 사용하는 제2 노출 단계 (d)를 거치게 되어 층(14)과 레지스트(45)의 다른 부분들을 빛에 노출시킨다. 이러한 노출 후, 이미지 층(14)과 레지스트(45)는 층들을 추가로 패턴하기 위하여 제2 현상 단계 (e)를 거치게 된다. 이러한 노출-현상 순서는 스택(48)이 에치되어 기판(10)에 패턴을 전달한 다음에 금속화반응과 같은 후속 처리공정 후, 원하는 만큼 여러 차례 반복될 수 있다.
도 2 및 3의 실시예에서, 공정에서 이러한 시점 이전에 에칭 단계를 수행할 필요가 없음이 다시 이해될 것이다. 즉, 도 2의 단계들 (a)-(d) 및 도 3의 단계들 (a)-(e)은 에칭이 일어나지 않고 수행된다. 게다가, 공정은 (동일하거나 서로 다른 화학적 조성물의)다중 포토레지스트 층들을 사용하여 특별한 공정에 원하는 만큼 반복될 수 있다.
도 2 및 3의 실시예에서, 층(14)은 전형적으로 하부 반사방지 코팅으로서 기능을 한다. 이러한 예들에서, 가교화된 층은 우수한 빛 흡광도를 제공하기 위하여 배합될 것이다. 가교화된 층(14)의 n 값은 적어도 약 1.3이고 바람직하게는 약 1.4 내지 약 2.0인 한편, k 값은 파장(예를 들면, 157nm, 193nm, 248nm, 365nm)을 사용할 때 적어도 약 0.1이고 바람직하게는 약 0.2 내지 약 0.5일 것이다. 경화된 층의 OD는 파장(예를 들면, 157nm, 193nm, 248nm, 365nm)을 사용할 때 적어도 약 5/μm일 것이고, 바람직하게는 약 5-15/μm, 더욱 바람직하게는 약 10-15/μm일 것이다.
하부 반사방지 코팅으로서 사용될 때, 경화 또는 가교화 후 층(14)의 두께가 조성물의 처음 최대 두께의 약 20% 내에 있도록 조성물이 다량으로 도포되는 것이 바람직하다. 조성물의 처음 최대 두께는 다음과 같이 정의된다:
Figure 112009016269232-PCT00001
,
여기서 λ는 사용한 파장이고 n은 조성물의 굴절율의 실수 성분이다. 더욱 바람직하게는, 가교화된 층(14)의 두께는 조성물의 처음 최대 두께의 약 15% 내에, 더욱 바람직하게는 약 10% 내에, 및 더더욱 바람직하게는 약 5% 내에 있다. 이러한 두께의 감광성 조성물을 사용하는 것은 임계 치수 제어를 갖는 구조를 프린트하고 토포그래피(topography) 위에서에의 반사 제어에 알맞은 적용범위를 제공하는 능력을 포함하는 개선된 성질을 가져온다.
발명의 방법에 사용하기 위한 조성물
상기에 언급된 바와 같이, 본 공정에 사용된 조성물은 열로 가교할 수 있고 감광성(즉, 광화학적으로 탈가교할 수 있음)이어야만 한다. 바람직한 조성물은 광산 발생제(photoacid generator, PAG) 가교제(가교화제와 호환적으로 언급됨)와 함께 용매 시스템에 용해되거나 분산되는 가교할 수 있는 고분자, 올리고머 및 단량체 중 적어도 하나를 포함할 것이다.
적절한 고분자는 지방족 고분자, 아크릴레이트, 메트아크릴레이트, 폴리에스테르, 폴리카보네이트, 노볼락, 폴리아믹산, 폴리술포닐 에스테르, 폴리카르보네이트-술폰(즉, -SO2 작용기와 -CO3 작용기 모두를 갖는 반복 단량체를 포함하는 고분자), 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 고분자를 포함한다. 적절한 용매 시스템, 가교제, PAG 및 성분의 양은 아래 논의된 것들을 포함한다.
하나의 적절한 조성물은 본원에 참조문헌로써 통합된 미국 특허 출원 제 11/683,309호에 공개된다. 이러한 유형의 조성물은 용매 시스템에 용해되거나 분산되는 고분자, 올리고머 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 화합물을 포함한다. 화합물은 조성물에 있는 모든 성분들의 총 중량을 100중량%로 할 때, 약 0.5-10중량%, 바람직하게는 약 0.5-5중량%, 및 더 바람직하게는 약 1-4중량%의 수준으로 조성물에 바람직하게 존재한다.
화합물이 고분자라면, 평균 분자량은 약 1,000-100,000달톤(Dalton) 및 더욱 바람직하게는 약 1,000-25,000달톤임이 바람직하다. 바람직한 고분자는 지방족 고분자, 아크릴레이트, 메트아크릴레이트, 폴리에스테르, 폴리카보네이트, 노볼락, 폴리아믹산 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 고분자들을 포함한다.
화합물이 올리고머라면, 분자량은 약 500-3,000달톤 및 더욱 바람직하게는 약 500-1,500달톤임이 바람직하다. 바람직한 올리고머는 치환된 및 치환되지 않은 아크릴레이트, 메트아크릴레이트, 노볼락, 이소시아누레이트, 글리시딜 에테르 및 이들의 혼합물을 포함한다.
화합물이 올리고머거나 고분자거나 상관없이, 그리고 고분자 주사슬 또는 올리고머 중심의 구조에 상관없이, 화합물이 산 작용기를 포함함이 바람직하다. 산 작용기는 화합물의 총 중량을 100중량%로 할 때, 적어도 약 5중량%, 바람직하게는 약 5-90중량%, 및 더욱 바람직하게는 약 5-50중량%의 수준으로 화합물에 바람직하게 존재한다. 바람직한 산 작용기는 카르복실산(-COOH)과 같이, 페놀물질(phenolics) 이외의 작용기들이다.
종래 기술의 조성물과 달리, 산 작용기는 바람직하게는 보호 작용기에 의해 보호되지 않는다. 즉, 적어도 약 95%, 바람직하게는 적어도 약 98% 및 바람직하게는 약 100%의 산 작용기는 보호 작용기가 없다. 보호 작용기는 산이 반응하는 것을 막는 작용기이다.
보호 작용기가 본 발명에 필요하지 않기 때문에, 화합물은 산-민감성이 아님이 또한 바람직하다. 산-민감성 고분자 또는 올리고머는 산의 존재 하에서 제거되거나, 분해되거나 또는 그렇지 않으면 전환되는 보호 작용기를 포함하는 것들이다.
또 하나의 실시예에서, 보호된 산 작용기 및 보호되지 않은 산 작용기의 조합물이 사용될 수 있다. 이러한 실시예에서, 보호된 산 작용기 대 보호되지 않은 산 작용기의 몰비는 약 1:3 내지 약 3:1이고, 더욱 바람직하게는 약 1:2 내지 약 1:1이다.
실시예에서, 조성물이 하부 반사방지 코팅으로서 사용되고 있는 경우, 조성물은 발색단(빛 감쇠 화합물 또는 부분)을 포함한다. 발색단은 (화합물 상의 작용기에 또는 고분자 주사슬이나 올리고머 중심에 직접) 화합물과 결합될 수 있거나, 발색단은 단순히 조성물에 물리적으로 혼합될 수 있다. 발색단은 화합물의 총 중량을 100중량%로 할 때, 약 5-50중량%, 및 바람직하게는 약 20-40중량%의 수준으로 조성물에 존재해야만 한다. 발색단은 조성물이 처리될 파장에 기초하여 선택된다. 예를 들면, 248nm의 파장에서, 바람직한 발색단은 나프탈렌(예를 들면, 나프토 산 메트아크릴레이트, 3,7-디하이드록시나프토산), 헤테로시클릭 발색단, 카르바졸, 안트라센(예를 들면, 9-안트라센 메틸 메트아크릴레이트, 9-안트라센카르복실산) 및 전술한 것의 기능 부분들을 포함한다. 193nm의 파장에서, 바람직한 발색단은 치환된 및 치환되지 않은 페닐, 헤테로시클릭 발색단(예를 들면, 푸란 고리, 티오펜 고리) 및 전술한 것의 기능 부분들을 포함한다. 바람직한 발명의 조성물은 또한 가교제를 포함할 것이다.
바람직한 가교제는 비닐 에테르 가교제이다. 비닐 에테르 가교제는 다중-기능성(multi-functional)이고, 더욱 바람직하게는 트리- 및 테트라-기능성임이 바람직하다.
바람직한 비닐 에테르 가교제는 다음의 화합물을 가진다:
R-(X-O-CH=CH2)n,
여기서 R은 아릴(바람직하게는 C6-C12) 및 알킬(바람직하게는 C1-C18, 및 더욱 바람직하게는 C1-C10)로 이루어진 그룹으로부터 선택되고, 각각의 X는 알킬(바람직하게는 C1-C18, 및 더욱 바람직하게는 C1-C10); 알콕시(바람직하게는 C1-C18, 및 더욱 바람직하게는 C1-C10); 카르복시; 및 전술한 것의 2개 또는 그 이상의 조합물로 이루어진 그룹으로부터 독립적으로 선택되고, 및 n은 2-6이다. 가장 바람직한 비닐 에테르 가교제는 에틸렌 글리콜 비닐 에테르, 트리메틸올프로판 트리비닐 에테르, 1,4-시클로헥산 디메탄올 디비닐 에테르 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 가교제들을 포함한다. 또 하나의 바람직한 비닐 에테르 가교제는 다음으로 이루어진 그룹으로부터 선택된 화학식을 갖는다:
Figure 112009016269232-PCT00002
,
Figure 112009016269232-PCT00003
, 및
Figure 112009016269232-PCT00004
.
바람직한 조성물은 또한 촉매를 포함한다. 바람직한 촉매는 산 발생제 및 특히 PAG(이온성 및 비-이온성 중 적어도 하나)이다. 빛의 존재하에서 산을 생성하는 PAG가 적절하다. 바람직한 PAG는 오니움염(예를 들면, 트리페닐 술포늄 노나플레이트 및 트리페닐 술포늄 트리플레이트와 같은 트리페닐 술포늄 퍼플루오로술포네이트), 옥심-술포네이트(예를 들면, CIBA에 의하여 CGI®의 명칭으로 판매되는 것들), 및 트리아진(예를 들면, Midori Kagaku Company사로부터 입수할 수 있는 TAZ108®)을 포함한다.
조성물은 조성물에 있는 고분자 및 올리고머 고체들의 총 중량을 100중량%로 하여, 약 0.1-10중량%의 촉매 및 더욱 바람직하게는 약 1-5중량%의 촉매를 바람직하게 포함한다.
비록 열 산 발생제(thermal acid generator, "TAG")가 발명의 조성물에 포함될 수 있을지라도, 바람직한 실시예에서 조성물은 TAG가 본래 없다. 즉, TAG는 100중량%로 취해진 조성물의 총 중량에 기초하여, 약 0.05중량%보다 작은, 및 바람직하게는 약 0중량%의 매우 낮은 수준으로 존재한다.
다수의 다른 선택적 성분들이 조성물에 또한 포함될 수 있음이 이해될 것이다. 전형적인 선택적 성분들은 계면활성제, 아민 염기 및 부착 촉진제를 포함한다.
이러한 실시예의 조성물은 실질적으로 균질 분산을 형성하기 위하여 적절한 용매 시스템에 있는 고분자, 올리고머 또는 이들의 혼합물을 바람직하게는 주위 조건들에서 그리고 충분한 양의 시간 동안 단순히 분산하거나 용해함으로써 형성된다. 다른 성분들(예를 들면, 가교제, PAG)은 화합물과 함께 용매 시스템에 바람직하게 분산되거나 또는 용해된다.
이러한 실시예의 바람직한 용매 시스템은 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 프로필렌 글리콜 n-프로필 에테르(PnP), 에틸 락테이트(EL) 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 용매를 포함한다. 바람직하게는, 용매 시스템은 약 50-250℃ 및 더욱 바람직하게는 약 100-175℃의 끓는점을 갖는다. 용매 시스템은 100중량%로 취해진 조성물의 총 중량에 기초하여, 약 80-99중량% 및 바람직하게는 약 95-99중량%의 수준으로 사용되어야만 한다.
본 발명의 방법에서 사용하기에 적절한 또 하나의 조성물은 본원에 참조로써 통합된 미국 특허 번호 제 7,108,958호에 기술된다. 이러한 실시예의 조성물은 폴리카르보네이트, 폴리술포닐 에스테르 및 폴리카르보네이트-술폰으로 이루어진 그룹으로부터 선택된 고분자를 포함한다.
고분자가 폴리카르보네이트인 실시예에서, 바람직한 폴리카르보네이트는 다음의 화학식(I)을 갖는 반복 단량체(recurring monomer)를 포함한다:
(I)
Figure 112009016269232-PCT00005
,
여기서 각각의 R1 및 R2는 지방족(바람직하게는 C1-C12) 디올, 방향족(바람직하게는 C4-C12) 디올 및 헤테로시클릭 디올을 포함하는 디올 기능 부분(functional moiety)들로 이루어진 그룹으로부터 독립적으로 선택된다. 바람직한 디올은 비스페놀로 이루어진 그룹으로부터 선택된 디올을 포함한다.
하나의 실시예에서, R1과 R3 중 적어도 하나는 비스페놀(및 바람직하게는 비스페놀 P 및 비스페놀 Z 중 적어도 하나)의 기능 부분들로 이루어진 그룹으로부터 선택된다. 이러한 실시예에서, R1과 R2 중 나머지 하나는 다음의 화학식을 가짐이 바람직하다:
Figure 112009016269232-PCT00006
,
여기서 R5는 알킬 작용기(치환된 또는 치환되지 않은, 바람직하게는 C1-C12 및 더욱 바람직하게는 C1-C6)이고, Ar은 아릴 작용기(치환된 또는 치환되지 않은, 바람직하게는 적어도 C4, 더욱 바람직하게는 C4-C12, 및 더더욱 바람직하게는 C6-C10)이다.
또 하나의 실시예에서, R1 또는 R2 중 하나가 비스페놀 A의 부분일 때, R1 및 R2의 다른 하나는 다음을 제외한 작용기이다:
Figure 112009016269232-PCT00007
또는
Figure 112009016269232-PCT00008
.
특히 바람직한 R1 및 R2 작용기들은 다음으로 이루어진 그룹으로부터 선택된 구조를 갖는 작용기들을 포함한다:
Figure 112009016269232-PCT00009
Figure 112009016269232-PCT00010
, 및
Figure 112009016269232-PCT00011
.
본원에 사용된 용어 "기능 부분(functional moiety)"은 화합물 각각의 구조들이 변화되어 다른 화합물들과 결합할 수 있는 화합물의 부분들을 의미하는 것으로 간주된다. 예를 들면, 구조
Figure 112009016269232-PCT00012
비스페놀 A의 기능 부분으로 고려되며, 화합물에 원래 존재하는 각각의 -OH 작용기에서 수소 원자가 제거되어서 산소 원자는 또 하나의 화합물 또는 부분과 결합할 수 있다.
고분자가 폴리술포닐 에스테르인 실시예에서, 고분자는 바람직하게 다음의 화학식을 갖는다:
(II)
Figure 112009016269232-PCT00013
여기서 X1은 디올과 디옥심의 기능 부분으로 이루어진 그룹으로부터 선택된다. 바람직한 디올은 지방족(바람직하게는 C1-C12) 디올, 방향족(바람직하게는 C4-C12) 디올 및 헤테로시클릭 디올을 포함한다. 특히 바람직한 디올은 비스페놀로 이루어진 그룹으로부터 선택된 디올을 포함한다. 바람직한 디옥심은 지방족(바람직하게는 C1-C12) 디옥심, 방향족(바람직하게는 C4-C12) 디옥심 및 헤테로시클릭 디옥심을 포함한다. 특히 바람직한 디옥심은 지방족 디옥심(NH2-탄소 사슬-NH2)과 치환된 또는 치환되지 않은 하이드록시벤즈알데히드 및 하이드록시아세틸 벤젠의 축합으로부터 유도된 디옥심을 포함한다. 하나의 특히 바람직한 예는 1,4-디아세틸 벤젠 디옥심이다.
바람직한 실시예에서, X1은 화학식 -O-Z-O-를 가지며, 여기서 Z는 치환된 및 치환되지 않은 아릴(바람직하게는 적어도 C4, 더욱 바람직하게는 C4-C12 및 더더욱 바람직하게는 C6-C10), 치환된 및 치환되지 않은 알킬(바람직하게는 C1-C12, 및 더욱 바람직하게는 C1-C6), 및 이들의 조합물로 이루어진 그룹으로부터 선택된다. 특히 바람직한 X1 작용기는 다음으로 이루어진 그룹으로부터 선택된 구조를 갖는다:
Figure 112009016269232-PCT00014
Figure 112009016269232-PCT00015
Figure 112009016269232-PCT00016
.
화학식 (II)에서, X2는 치환된 및 치환되지 않은 아릴(바람직하게는 적어도 C4, 더욱 바람직하게는 C4-C12 및 더더욱 바람직하게는 C6-C10) 및 치환된 및 치환되지 않은 알킬(바람직하게는 C1-C12 및 더욱 바람직하게는 C1-C6)로 이루어진 그룹으로부터 선택된다. 특히 바람직한 X2 작용기는 페닐, 나프틸, 푸릴, 티오닐 및 안트라닐로 이루어진 그룹으로부터 선택된 작용기들을 포함한다. X1과 X2 중 적어도 하나는 방향족 부분 또는 다른 광 흡수 작용기(light absorbing group)를 포함함이 바람직하다.
고분자가 폴리카르보네이트 술폰인 실시예에서, 이 고분자의 바람직한 구조는
(III)
Figure 112009016269232-PCT00017
이고,
여기서, 각각의 R3과 R4는 치환된 및 치환되지 않은 아릴(바람직하게는 적어도 C4, 더욱 바람직하게는 C4-C12 및 더더욱 바람직하게는 C6-C10), 및 알킬(바람직하게는 C1-C12 및 더욱 바람직하게는 C1-C6)로 이루어진 그룹으로부터 독립적으로 선택된다.
R3과 R4 중 적어도 하나는 -SO2 작용기를 포함할 것이고, R3과 R4 중 적어도 하나가 방향족 부분 또는 다른 광 흡수 작용기를 포함함이 바람직하다. 특히 바람직한 R3 및 R4 작용기들은 다음으로 이루어진 그룹으로부터 선택된 작용기들을 포함한다:
Figure 112009016269232-PCT00018
Figure 112009016269232-PCT00019
Figure 112009016269232-PCT00020
.
바람직하게, 고분자는 약 1,000-100,000달톤(Daltons), 더욱 바람직하게는 약 2,000-50,000달톤 및 더더욱 바람직하게는 약 2,000-20,000달톤의 평균 분자량을 갖는다.
이러한 실시예의 조성물은 실질적으로 균질 분산을 형성하기 위하여 적절한 용매 시스템에 있는 고분자(들)을 바람직하게는 주위 조건에서 그리고 충분한 양의 시간 동안 단순히 분산하거나 용해함으로써 형성된다. 바람직한 조성물은 100중량%로 취해진 조성물의 총 중량에 기초하여, 약 1-20중량%의 고분자 및 바람직하게는 약 2-10중량%의 고분자를 포함한다.
이 실시예의 용매 시스템은 마이크로전자 제조 환경에서 사용하는데 적절한 용매를 포함할 수 있다. 바람직한 용매 시스템은 프로필렌 글리콜 모노메틸 에테르(PGME), 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 에틸 락테이트, 프로필렌 글리콜, n-프로필 에테르(PnP), 시클로헥사논, γ-부티로락톤 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 용매를 포함한다. 용매는 100중량%로 취해진 조성물의 총 중량에 기초하여, 약 80-98중량%의 수준으로 조성물에 존재해야만 한다. 바람직하게는, 용매 시스템은 약 100-160℃의 끓는점을 가진다.
또한 추가적인 성분들은 고분자와 함께 용매 시스템에 바람직하게 분산된다. 적절한 추가 성분들의 예는 가교제, 촉매(예를 들면, PAG) 및 계면활성제를 포함한다. 바람직한 가교제는 아미노플라스트(예를 들면, POWDERLINK® 1174, Cymel® 제품들), 다기능 에폭시 수지(예를 들면, MY720, CY179MA DENACOL), 무수물 및 이들의 혼합물을 포함한다. 사용될 때, 가교제는 100중량%로 취해진 조성물에 있는 고체의 총 중량에 기초하여, 약 10-50중량% 및 바람직하게는 약 15-30중량%의 수준으로 조성물에 존재한다.
적절한 PAG는 이온성 및 비이온성 모두의 PAG들을 포함한다. 특히 바람직한 PAG의 예는 CGI261, CGI1397, 및 CGI1311(CIBA Specialty Chemicals)의 명칭으로 판매되는 PAG와 같은 술포닌산-유형 PAG를 포함한다. 사용될 때, PAG는 100중량%로 취해진 조성물에 있는 고체의 총 중량에 기초하여, 약 0.05-10중량% 및 바람직하게는 약 2-8중량%의 수준으로 조성물에 존재해야만 한다.
도 1은 발명에 따른 공정을 도시하는 도식도이고
도 2는 발명의 공정의 제2 실시예를 도시하는 도식도이며; 및
도 3은 발명의 공정의 제3 실시예를 도시하는 도식도이다.
다음의 실시예들은 발명에 따른 바람직한 방법을 설명한다. 그러나, 이러한 실시예들은 도면에 의하여 제공되고, 도면에서의 어느 것도 발명의 전체 범위에 제 한으로서 고려되지 않아야 함을 이해하여야 할 것이다.
실시예 1
무광 흡수 고분자(non-light absorbing polymer)로 만들어진 코팅 배합물
1. 고분자 A의 제조
이러한 절차에서, 9.00g의 시클로헥실 아크릴레이트(펜실베니아, 워링톤, Polysciences사로부터 획득됨) 및 5.01g의 메트아크릴산(위스콘신, 밀워키, Aldrich사로부터 획득됨)을 교반 막대(stir bar), 질소 입구를 갖는 첨가 깔대기 및 질소 출구를 갖는 응축기가 장착된 250ml의 2구 플라스크에 첨가했다. 이들 시약들은 50.00g의 PGME(미조리, 세인트 루이스, Harcross사로부터 획득됨)을 첨가하고 질소 대기 하에서 교반시킴으로써 용해되었다. 분리 컨테이너에서, 3.00g의 디쿠밀 퍼록사이드(뉴저지, Acros사로부터 획득됨)를 36.15g의 PGME에 용해시켰고 이 용액을 첨가 깔대기에 전달하였다. 플라스크를 오일 베스(oil bath)에 담갔고 용액이 환류하기 시작할 때까지 가열했다. 환류시, 디쿠밀 퍼록사이드 용액을 단량체 용액에 첨가했다. 생성된 용액은 24시간 동안 환류되었다. 매우 옅은 노란색 용액이 획득되었다. 용액은 실온으로 냉각되었고 저장을 위해 날진 병(Nalgene bottle)에 전달되었다. 용매로서 테트라하이드로푸란(뉴저지, 페어론, Fisher사로부터 획득됨)을 사용하는 겔 투과 크로마토그래피에 의한 분자량 분석은 17,600달톤의 중량-평균 분자량을 제공했다. 고분자 A의 광학 성질은 표 I에 제공된다.
193nm에서의 n 193nm에서의 k 633nm에서의 n 두께(Å) 코쉬(Cauchy) A 코쉬 B
1.6857 0.052 1.5 1410 1.4879 0.0049777
2. 발색단 A의 제조
발색단 A를 합성하기 위하여, 10.77g의 트리스(2,3-에폭시프로필)이소시아누레이트(위스콘신, 밀워키, Aldrich사로부터 획득됨), 19.23g의 3,7-디하이드록시-2-나프토산(위스콘신, 밀워키, Aldrich사로부터 획득됨), 0.32g의 테트라부틸포스포늄 브로마이드(위스콘신, 밀워키, Aldrich사로부터 획득됨) 및 70.0g의 PGME를 교반 막대, 질소 입구 및 응축기를 갖는 250ml의 2구 플라스크에 첨가했다. 플라스크는 오일 베스에서 24시간 동안 교반과 질소 흐름으로 100℃로 가열되었다. 냉각 후, 발색단은 대략 500ml의 물에 침전되었고 100ml의 물로 한번 헹구어졌고 50℃에서 밤새도록 진공 오븐에서 건조되었다.
3. 비닐 에테르 가교제의 제조
이러한 절차에서, 25.15g의 테트라메틸렌 글리콜 모노비닐 에테르, 22.91g의 트리에틸아민 및 250ml의 THF를 교반 막대, 첨가 깔대기, 응축기 및 질소 입구와 출구로 장착된 500ml의 2구 플라스크에 첨가했다. 용액을 질소의 저흐름 하에서 교반시켰고 얼음물 베스(ice water bath)에 담갔다.
다음에, 20.00g의 1,3,5-벤젠트리카르보닐 트리클로라이드는 닫힌 삼각플라스크에 있는 50ml의 THF에 용해되었다. 이러한 용액은 첨가 깔대기에 전달되었다. 첨가 깔대기의 내용물은 테트라메틸렌 글리콜 모노비닐 에테르, 트리에틸아민 및 THF의 교반 용액에 액적으로(대략 15분 이상) 첨가되었다. 백색 침전물이 접촉시 형성되었다. 첨가가 완료된 후, 플라스크는 얼음물 베스에서 제거되고 대략 2시간 동안 실온(약 20℃)에서 교반되었다. 그 다음에, 플라스크를 오일 베스에 담갔고 슬러리는 가열되었고 3시간 동안 환류로 유지되었다. 플라스크는 열에서 제거되었고 실온으로 냉각되었다.
그 다음에, 슬러리는 흡입 여과되어 노란색 용액을 제공했다. 노란색 용액은 THF를 제거하기 위하여 회전 증발기(rotavap)를 사용하여 농축되었다. 노란색 오일은 100ml의 디에틸에테르를 사용하여 용해되었다. 이러한 용액은 세척되었고 25ml 일부의 수성의 12.5% 테트라메틸암모늄 하이드록사이드로 두번 추출되었다. 이것은 50ml의 탈염수로 2번의 세척 및 추출 단계들을 따르게 되었다. 에테르 층이 침전해서 나오게 허용되었고, 수집되었다. 에테르 층은 5.0g의 활성 염기 알루미나와 혼합함으로써 건조되었다. 혼합물은 1시간 동안 교반되었고 중력 여과되었다. 맑은 노란색 액체가 회전 증발기에서 농축되어 노란색 점성 오일을 제공했다.
비닐 에테르 가교제, 1,3,5-벤젠트리카르복실산, 트리스[4-(에테닐옥시)부틸]에스테르는 다음의 구조를 가졌다:
Figure 112009016269232-PCT00021
.
4. 코팅 배합물 1의 제조
1.4364g의 고분자 A(PGME에 녹인 14% 고체), 0.6033g의 발색단 A, 39.5923g의 PGME, 9.9058g의 PGMEA(미조리, 세인트 루이스, Harcross사로부터 획득됨), 0.4737g의 상기 제조된 비닐 에테르 가교제, 0.0232g의 TPS-OH(일본, Midori Kagaku사로부터 획득됨) 및 0.0523g의 BBI-106(일본, Midori Kagaku사로부터 획득됨)을 포함하는 하부 반사방지 코팅 배합물, 배합물 1이 제조되었고 0.1-마이크론 종말점 여과기를 통과하여 여과되었다. 상기 배합물은 실리콘 기판 상에서 1,500rpm으로 회전-코팅된 다음에, 165℃에서 베이크되었다. 193nm에서의 광학 상수는 가변입사각 분광타원법(VASE)을 사용하여 측정되었고 n=1.557이고 k=0.216인 것으로 결정되었다. 필름을 에틸 락테이트("EL", 미조리 세인트 루이스, Harcross사로부터 획득됨)로 헹구어서 레지스트 용매에 대한 필름의 저항을 시험했고, 수은-크세논 램프로부터의 빛에 노출시켰고, 130℃에서 90초 동안 노출후 베이크했고, 60초 동안 현상액(MF-319, 메사추세스, Rohm & Haas사로부터 획득됨)에 담갔다. 아래 표 II는 하부 반사방지 코팅 배합물 1이 우수한 용매 저항을 갖고, 배합물 1이 노출 후 알칼리 현상액에 의하여 오직 제거될 수 있음을 나타낸다.
표 II. 하부 반사방지 코팅 배합물 1 필름의 성질
초기 두께 (Å) 20초 EL 헹굼 후 두께 (Å) % EL 스트립 노출, PEB 및 현상 후 두께 (Å) % 변화, 노출됨 현상 후 두께 (Å) (노출없음) % 변화, 노출되지 않음
758 773 1.6 0 100 770 0.39
실시예 2
광-흡수 고분자(light-absorbing polymer)로 만들어진 코팅 배합물
1. 고분자 B의 제조
이러한 절차에서, 21.29g의 스티렌(미조리, 세인트 루이스, Aldrich사로부터 획득됨), 26.17g의 t-부틸 메트아크릴레이트(위스콘신, 밀워키, Aldrich사로부터 획득됨), 25.22g의 메트아크릴산 및 491.84g의 PGME를 자석 교반 막대, 온도계, 질소 입구를 갖는 첨가 깔대기 및 응축기가 장착된 1,000ml의 3구 플라스크에 합친다. 1.81g의 2,2'-아조비스(2-메틸프로피오니트릴)("AIBN", 위스콘신, 밀워키, Aldrich사로부터 획득됨)의 용액 및 164.32g의 PGME를 첨가 깔대기(additional funnel)에 첨가했다. 플라스크는 교반 및 질소 흐름으로 오일 베스에서 100℃로 가열되었다. 플라스크의 내용물이 100℃에 도달한 후, AIBN 용액이 반응에 첨가되었다. 첨가를 완료했을 때, 반응은 24시간 동안 100℃에서 유지되었다. 냉각 후, 고분자는 대략 4l의 헥산에서 침전되었고, 200ml의 헥산으로 2번 헹구어졌고, 50℃에서 밤새도록 진공 오븐에서 건조되었다.
2. 코팅 배합물 2의 제조
코팅 배합물 2를 만들기 위하여, 0.1006g의 고분자 B, 0.302g의 발색단 A, 35.5438g의 PGME, 8.8929g의 PGMEA, 0.4737g의 상기 제조된 비닐 에테르 가교제, 10% PGME 용액에 녹은 0.0309g의 트리에탄올아민 소광제(quencher)(위스콘신, 밀워키, Aldrich사로부터 획득됨), 및 0.0523g의 BBI-106이 합쳐졌고 0.1-마이크론 종말점 여과기를 통과하여 여과되었다. 배합물은 실리콘 기판 위에서 1,500rpm으로 회전-코팅된 다음에 160℃에서 베이크 되었다. 193nm에서의 광학 상수는 VASE를 사용하여 측정되었고 n=1.536이고 k=0.272인 것으로 결정되었다. 필름을 EL로 헹구어서 레지스트 용매에 대한 필름의 저항을 시험했고, 수은-크세논 램프로부터의 빛에 노출시켰고, 90초 동안 130℃에서 노출후 베이크했고, 60초 동안 현상액(MF-319)에 담갔다. 아래 표 III는 하부 반사방지 코팅은 우수한 용매 저항을 갖고 노출 후 알칼리 현상액에 의하여 오직 제거될 수 있음을 나타낸다.
표 III. 하부 반사방지 코팅 배합물 2 필름의 성질
초기 두께 (Å) 20초 EL 헹굼 후 두께 (Å) % EL 스트립 노출, PEB 및 현상 후 두께 (Å) % 변화, 노출됨 현상 후 두께 (Å) (노출되지 않음) % 변화, 노출되지 않음
592 599 1.18 0 100 602 0.50
실시예 3
하부 반사방지 코팅을 사용하는 다중-패터닝 공정
하부 반사방지 코팅 배합물 3을 만들기 위하여, 11.226g의 고분자 B, 739.3g의 PGME, 185.0g의 PGMEA, 3.306g의 상기 제조된 비닐 에테르 가교제, 10% PGME 용액에 녹은 0.859g의 트리에탄올아민 소광제, 및 50% 트리페닐 술포늄 퍼플루오로 부탄술포네이트와 50% 트리스-(4-tert-부틸페닐)술포늄 퍼플루오로 부탄술포네이트의 0.330g의 혼합물(위스콘신, 밀워키, aldrich사로부터 획득됨)이 합쳐졌고 0.1-마이크론 종말점 여과기를 통과하여 여과되었다. 다중 패터닝 공정을 시험하기 위하여, 배합물은 실리콘 기판 상에서 1,500rpm으로 회전-코팅된 다음에 160℃에서 베이크 되었다. 1-인치 원형 마스크를 필름 위에 놓은 다음에 수은-크세논 램프를 사용하여 노출시켰고, 130℃에서 90초 동안 노출후 베이크했고, 60초 동안 현상액(MF-319)에 담갔고, 탈염수로 헹구었고, 회전 건조했다. 공정은 54nm 두께로 기판 상에 프린트된 원(circle)을 남겼다. 노출된 영역은 필름이 남아있지 않았다. 이러한 웨이퍼는 다시 한번 더 코팅되었고 상기 기술된 바와 같이 2번 이상 공정처리 되었다. 생성된 웨이퍼는 기판 상의 서로 다른 장소에 프린트된 3개의 원들을 가졌다. 이러한 예는 마지막 노출 전에 프린트된 모든 특징들이 다수의 노출들, 베이크들, 현상(development)들, 및 헹굼 후에도 기판에 남아있음을 설명한다.
실시예 4
하부 반사방지 코팅을 사용하는 다중-패터닝 공정
상기 기술된 배합물 3을 사용하여, 도 2에 도시된 이중-패터닝 도식을 설명했다. 배합물은 실리콘 기판 상에서 60초 동안 1,500rpm으로 회전-코팅된 다음에 160℃에서 60초 동안 베이크 되었다. 포토레지스트(AR1682J, JSR Corp.사로부터 획득됨)는 하부 반사방지 코팅의 최상부 상에 60초 동안 3,200rpm으로 회전-코팅되었다. 그 다음에 레지스트 및 하부 반사방지 필름은 110℃에서 60초 동안 베이크 되었다. 시험(접촉) 마스크를 웨이퍼 최상부에 놓았고, 필름은 수은-크세논 램프 하에 5 초 동안(254nm 선량 측정기를 사용하여 20mJ/sec에서) 노출되었다. 그 다음에 마스크는 이전의 배향(orientation)에서 대략 90도 회전되었고 필름은 또 다른 5초 동안 노출되었다. 웨이퍼를 110℃에서 60초 동안 노출후 베이크했고 그 다음에 60초 동안 현상액(MF-319)에 담갔다. 웨이퍼는 탈염수로 헹구어졌고 회전-건조되었다. 레지스트와 하부 반사방지 필름 모두의 이미지화(imageability)를 나타내는, 겹친 이미지들이 관찰되었다.
실시예 5
하부 반사방지 코팅을 사용하는 다중-패터닝 공정
상기 기술된 배합물 3을 사용하여, 도 3에 도시된 이중-패터닝 도식을 설명했다. 배합물은 실리콘 기판 상에서 60초 동안 1,500rpm으로 회전-코팅된 다음에 160℃에서 60초 동안 베이크되었다. 포토레지스트(AR1682J)는 하부 반사방지 코팅의 최상부 상에 60초 동안 3,200rpm으로 회전-코팅되었다. 그 다음에 레지스트와 하부 반사방지 코팅 필름은 110℃에서 60초 동안 베이크되었다. 시험(접촉) 마스크를 웨이퍼의 최상부 상에 놓았고, 필름은 수은-크세논 램프 하에서 5초 동안 (254nm 선량 측정기를 사용하여 20mJ/sec에서) 노출되었다. 웨이퍼를 110℃에서 60초 동안 노출후 베이크한 다음에 60초 동안 현상액(PD523, Moses Lake Industries사로부터 획득됨)에 담갔다. 웨이퍼는 탈염수로 헹구어졌고 회전-건조되었다. 포토레지스트의 제2 코팅이 도포되었다(AR1682J, 3200rpm, 60초). 그 다음에 레지스트와 하부 반사방지 필름은 다시 110℃에서 60초 동안 베이크되었다. 그 다음에 마스크는 이전의 배향에서 대략 90도 회전되었고 그 다음에 필름은 또 다른 5초 동안 노출되었다. 웨이퍼를 110℃에서 60초 동안 노출후 베이크한 다음에 60초 동안 현상액에 담갔다. 웨이퍼는 탈염수로 헹구어졌고 회전-건조되었다. 레지스트와 반사방지 필름의 이미지화를 나타내는, 겹친 이미지들이 관찰되었다.
감광성 수지 조성물을 이중 패터닝하는 신규한 방법들이 제공되며, 이러한 방법들은 감광성 조성물을 기판에 도포하는 단계 및 조성물을 열로 가교화시키는 단계를 포함한다. 가교화된 층은 반사 제어를 제공하는데 사용될 수 있다. 빛에 노출시, 조성물에 있는 가교화된 고분자(또는 올리고머 또는 단량체)는 탈가교할 것이고, 빛에 노출된 부분을 전형적인 포토레지스트 현상액(예를 들면, 알칼라인 현상액)에 용해하게 한다. 유리하게, 조성물의 가교화된 부분들은 감광성 조성물을 형성하는데 사용된 용매에 용해하지 않고 남아있다. 결과적으로, 코팅, 리소그래피(lithographic) 및 현상 단계들 중 적어도 하나는 초기에 형성된(earlier-formed) 패턴을 파괴하지 않고 특별한 공정에 따라 순서를 바꾸면서 여러 차례 반복될 수 있다.

Claims (20)

  1. 다음 단계를 포함하는, 마이크로전자 구조를 형성하는 방법:
    (a) 표면을 갖는 기판을 제공하는 단계;
    (b) 상기 기판 표면에 인접한 이미지 층(imaging layer)을 형성하기 위하여 감광성 조성물을 도포하는 단계, 상기 조성물은 고분자, 올리고머 및 단량체로 이루어진 그룹으로부터 선택된 성분을 포함하고;
    (c) 상기 이미지 층의 상기 성분을 가교화시키는 단계;
    (d) 빛에 상기 이미지 층을 노출시켜서 이미지 층에서 빛에 노출된 부분을 생성하는 단계;
    (e) 상기 기판으로부터 상기 빛에 노출된 부분을 제거하기 위하여 상기 이미지 층을 현상액과 접촉시키고, 패턴된 이미지 층을 생성하는 단계; 및
    (f) 상기 패턴된 이미지 층을 가열하지 않고, 제2 감광성 조성물을 도포하여 상기 패턴된 이미지 층 위에 제2 이미지 층을 형성하는 단계.
  2. 제 1항에 있어서, 가열은 단계 (e) 후의 노출후 베이크 단계를 제외하고 단계 (a), (b), (d), (e) 또는 (f) 동안 일어나지 않는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  3. 제 1항에 있어서, 패턴된 이미지 층 및 기판이 단계 (f) 이전에 에칭되지 않 는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  4. 제 1항에 있어서, 상기 패턴된 이미지 층은 단계 (f) 동안 그대로 남아있는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  5. 제 1항에 있어서 단계 (c)는 상기 성분들을 열 가교화시키는 단계를 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  6. 제 1항에 있어서, 상기 제2 감광성 조성물은 단계 (a)의 감광성 조성물과 동일한 것을 특징으로 하는 제 마이크로전자 구조를 형성하는 방법.
  7. 제 1항에 있어서, 상기 제2 감광성 조성물은 고분자, 올리고머, 및 단량체로 이루어진 그룹으로부터 선택된 성분을 포함하고, 상기 방법은 다음의 단계들을 더욱 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법:
    (g) 상기 제2 이미지 층의 상기 성분을 가교화시키는 단계;
    (h) 빛에 상기 제2 이미지 층을 노출시켜서 제2 이미지 층에서 빛에 노출된 부분을 생성하는 단계; 및
    (i) 상기 기판으로부터 상기 빛에 노출된 부분을 제거하기 위하여 상기 제2 이미지 층을 현상액과 접촉시키고, 제2 패턴된 이미지 층을 생성하는 단계.
  8. 제 7항에 있어서, 다음을 더욱 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법:
    (j) 한번 또는 그 이상으로 단계 (f)-(i)를 선택적으로 반복하는 단계; 및
    (k) 패턴된 이미지 층의 패턴들을 기판에 전달하는 단계.
  9. 제 8항에 있어서, 상기 단계 (k)는 상기 패턴된 이미지 층과 기판을 에칭하는 단계를 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  10. 제 1항에 있어서, 상기 감광성 조성물은 광산 발생제, 가교제 및 용매 시스템을 더욱 포함하는데, 여기서 상기 성분, 광산 발생제 및 가교제는 상기 용매 시스템에 용해되거나 분산되는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  11. 제 10항에 있어서, 상기 가교제는 비닐 에테르 가교제인 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  12. 다음 단계를 포함하는, 마이크로전자 구조를 형성하는 방법:
    (a) 표면을 갖는 기판을 제공하는 단계;
    (b) 상기 기판 표면에 인접한 이미지 층을 형성하기 위하여 감광성 조성물을 도포하는 단계, 상기 조성물은 고분자, 올리고머 및 단량체로 이루어진 그룹으로부 터 선택된 성분을 포함하고;
    (c) 상기 성분을 상기 이미지 층에 가교화시키는 단계;
    (d) 빛에 상기 이미지 층의 부분들을 노출시켜서 상기 층에 빛에 노출된 부분을 생성하는 단계;
    (e) 빛에 상기 이미지 층의 추가 부분들을 노출시켜서 상기 층에 또 다른 빛에 노출된 부분을 생성하는 단계;
    (f) 단계 (e)를 선택적으로 반복하는 단계; 및
    (g) 상기 기판으로부터 상기 빛에 노출된 부분을 제거하기 위하여 상기 층을 현상액과 접촉시키고, 패턴된 이미지 층을 생성하는 단계.
  13. 제 12항에 있어서, 다음을 더욱 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법:
    (h) 단계 (d) 후 및 단계 (e) 전에, 상기 기판으로부터 단계 (d)의 빛에 노출된 부분을 제거하기 위하여 상기 층을 현상액과 접촉시키고, 패턴된 이미지 층을 생성하는 단계.
  14. 제 12항에 있어서, 가열은 단계 (d)와 (e) 중 적어도 하나 후에 노출후 베이크 단계를 제외하고 단계 (a), (b), (d), (e), (f) 또는 (g) 동안 일어나지 않는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  15. 제 12항에 있어서, 상기 패턴된 이미지 층과 기판은 단계 (g) 이전에 에칭(etching)되지 않는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  16. 제 12항에 있어서, 단계 (c)는 상기 성분을 열 가교화시키는 단계를 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  17. 제 12항에 있어서, 단계 (g) 후에, 패턴된 이미지 층의 패턴을 기판에 전달하는 단계를 더욱 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  18. 제 17항에 있어서, 상기 전달 단계는 상기 패턴된 이미지 층과 기판을 에칭하는 단계를 포함하는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  19. 제 12항에 있어서, 상기 감광성 조성물은 광산 발생제, 가교제 및 용매 시스템을 더욱 포함하며, 여기서 상기 성분, 광산 발생제 및 가교제는 상기 용매 시스템에 용해되거나 분산되는 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
  20. 제 19항에 있어서, 상기 가교제는 비닐 에테르 가교제인 것을 특징으로 하는 마이크로전자 구조를 형성하는 방법.
KR1020097005529A 2006-08-18 2007-08-16 다중 패터닝 공정을 위한 반사방지 이미지층 KR101506353B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US82282306P 2006-08-18 2006-08-18
US60/822,823 2006-08-18
US11/839,317 2007-08-15
US11/839,317 US7914974B2 (en) 2006-08-18 2007-08-15 Anti-reflective imaging layer for multiple patterning process
PCT/US2007/076078 WO2008022245A1 (en) 2006-08-18 2007-08-16 Anti-reflective imaging layer for multiple patterning process

Publications (2)

Publication Number Publication Date
KR20090051759A true KR20090051759A (ko) 2009-05-22
KR101506353B1 KR101506353B1 (ko) 2015-03-26

Family

ID=39082361

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097005529A KR101506353B1 (ko) 2006-08-18 2007-08-16 다중 패터닝 공정을 위한 반사방지 이미지층

Country Status (6)

Country Link
US (1) US7914974B2 (ko)
EP (1) EP2070107B1 (ko)
JP (1) JP5070287B2 (ko)
KR (1) KR101506353B1 (ko)
TW (1) TWI453792B (ko)
WO (1) WO2008022245A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012018983A2 (en) * 2010-08-05 2012-02-09 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
KR101647158B1 (ko) 2008-01-29 2016-08-09 브레우어 사이언스 인코포레이션 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
JP5739325B2 (ja) * 2008-04-23 2015-06-24 ブルーワー サイエンス アイ エヌシー. マイクロリソグラフィー用の感光性ハードマスク
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
JP2010278204A (ja) * 2009-05-28 2010-12-09 Oki Semiconductor Co Ltd レジストパターンの形成方法
US7989346B2 (en) * 2009-07-27 2011-08-02 Adam Letize Surface treatment of silicon
US8632948B2 (en) * 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US8697346B2 (en) * 2010-04-01 2014-04-15 The Regents Of The University Of Colorado Diffraction unlimited photolithography
US9960038B2 (en) 2010-12-27 2018-05-01 Brewer Science, Inc. Processes to pattern small features for advanced patterning needs
US8440523B1 (en) * 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
WO2013163100A1 (en) * 2012-04-23 2013-10-31 Brewer Science Inc. Photosensitive, developer-soluble bottom anti-reflective coating material
JP6175226B2 (ja) * 2012-09-28 2017-08-02 富士フイルム株式会社 パターン形成方法、半導体製造用の感活性光線性又は感放射線性樹脂組成物、及び電子デバイスの製造方法
EP3015469B1 (en) 2014-10-30 2018-12-19 Idemitsu Kosan Co., Ltd. 5-(benzimidazol-2-yl)benzimidazo[1,2-a]benzimidazoles for electronic applications
US10712258B2 (en) 2017-12-06 2020-07-14 California Institute Of Technology Cuvette having high optical transmissivity and method of forming

Family Cites Families (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (ko) 1964-08-26
US3561962A (en) 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
US3682641A (en) 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
CA1077787A (en) 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
DE2861696D1 (en) 1977-09-07 1982-04-29 Ici Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
JPS5471579A (en) 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4244799A (en) 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4369090A (en) 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4430419A (en) 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4526856A (en) 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
US4996247A (en) 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
US4568631A (en) * 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
JPS60262150A (ja) 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
DE3425063A1 (de) 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Maske fuer die roentgenlithographie
US4578328A (en) 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4683024A (en) 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4808513A (en) 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US4927736A (en) 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
JP2557898B2 (ja) 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US5137780A (en) 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4845265A (en) 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5304626A (en) 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
JPH0260934A (ja) 1988-06-28 1990-03-01 Amoco Corp 中間層絶縁体および基板被膜用の低誘電率、低水分吸収ポリイミドおよびコポリイミド
DE3835737A1 (de) 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US5024922A (en) 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5198153A (en) 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
KR950011927B1 (ko) 1989-12-07 1995-10-12 가부시끼가이샤 도시바 감광성 조성물 및 수지봉지형 반도체장치
US5126231A (en) 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5066566A (en) 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
JPH04151155A (ja) * 1990-10-15 1992-05-25 Seiko Epson Corp 半導体装置の製造方法
JP3041972B2 (ja) 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
JPH05326358A (ja) * 1992-05-18 1993-12-10 Sony Corp 微細パターン形成方法
EP0718696B1 (en) 1992-07-22 2002-01-16 Asahi Kasei Kabushiki Kaisha Photosensitive polyimide precursor composition
US5370969A (en) 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
JPH06230574A (ja) 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
US5443941A (en) 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5397684A (en) 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (ja) 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
US5691101A (en) * 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
SG54108A1 (en) 1994-03-31 1998-11-16 Catalysts & Chem Ind Co Coating solution for formation of coating and use thereof
US5667940A (en) * 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JP3033443B2 (ja) 1994-06-29 2000-04-17 信越化学工業株式会社 反射防止膜材料
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH08110638A (ja) * 1994-10-13 1996-04-30 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
US5688987A (en) 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
US5545588A (en) 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
KR100441551B1 (ko) 1995-07-12 2004-11-03 미쓰비시 엔지니어링-플라스틱스 코포레이션 폴리카르보네이트수지조성물
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100206597B1 (ko) 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR100223329B1 (ko) 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
EP0824719B1 (en) 1996-03-06 2001-12-05 Clariant Finance (BVI) Limited A process for obtaining a lift-off imaging profile
KR970071126A (ko) * 1996-04-01 1997-11-07 김광호 이중 포토레지스트를 이용한 패턴 형성방법
US5633210A (en) 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
US5952448A (en) 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
TW432257B (en) 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6232386B1 (en) 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
WO1998039496A1 (en) 1997-03-07 1998-09-11 Corning Incorporated Method of making titania-doped fused silica
JP3766165B2 (ja) * 1997-03-07 2006-04-12 株式会社ニコン 画像形成方法及び感光材料
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
TW468091B (en) 1997-09-05 2001-12-11 Kansai Paint Co Ltd Visible light-sensitive compositions and pattern formation process
KR100566042B1 (ko) 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 포지티브형전착포토레지스트조성물및패턴의제조방법
US6218292B1 (en) 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) * 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) * 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (ja) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
US6063547A (en) 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6361833B1 (en) 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6165695A (en) 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6127070A (en) 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6162587A (en) 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6200907B1 (en) 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6171763B1 (en) 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6306560B1 (en) 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6156658A (en) 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6207238B1 (en) 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6136511A (en) 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
US6616692B1 (en) 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6110653A (en) 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP4512217B2 (ja) 1999-08-20 2010-07-28 富士フイルム株式会社 アリールシラン化合物、発光素子材料およびそれを使用した発光素子
WO2001015211A1 (en) 1999-08-26 2001-03-01 Brewer Science Improved fill material for dual damascene processes
US6852473B2 (en) * 2000-01-12 2005-02-08 Infineon Technologies Richmond, Lp Anti-reflective coating conformality control
US20020009599A1 (en) 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
AU2001233290A1 (en) 2000-02-22 2001-09-03 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
US6461717B1 (en) 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
JP2001338926A (ja) 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
JP2001344732A (ja) 2000-05-29 2001-12-14 Fujitsu Ltd 磁気記録媒体用基板及びその製造方法、並びに磁気記録媒体の評価方法
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR100917101B1 (ko) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 플렉시블 금속적층체 및 그 제조방법
DE60128818T2 (de) 2000-09-19 2008-02-07 Shipley Co., L.L.C., Marlborough Antireflexionszusammensetzung
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US20030054117A1 (en) 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6444582B1 (en) 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
WO2002066539A1 (en) 2001-02-16 2002-08-29 Dominion Energy, Inc. Poly amic acid system for polyimides
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
TW495839B (en) * 2001-03-12 2002-07-21 Nanya Technology Corp Multiple exposure method
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6680252B2 (en) 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
ATE334809T1 (de) 2001-05-29 2006-08-15 Essilor Int Verfahren zur herstellung von einem beschichteten optischen gegenstand
US6605545B2 (en) 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
EP1448669B1 (en) 2001-09-27 2010-04-07 LG Chem Ltd. Adhesive composition comprising a polyimide copolymer and method for preparing the same
KR100465866B1 (ko) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6916537B2 (en) 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP2003162065A (ja) 2001-11-26 2003-06-06 Mitsubishi Electric Corp 露光装置、露光マスク、露光方法、表示装置及び電子部品
JP3773445B2 (ja) 2001-12-19 2006-05-10 セントラル硝子株式会社 含フッ素脂環族ジアミンおよびこれを用いた重合体
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7261997B2 (en) 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
KR20030068729A (ko) 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6852474B2 (en) 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6849293B2 (en) 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
KR20040009384A (ko) 2002-07-23 2004-01-31 삼성전자주식회사 포토레지스트용 현상액에 용해되는 유기 바닥 반사 방지조성물과 이를 이용한 사진 식각 공정
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6821689B2 (en) * 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
US20040077173A1 (en) 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100539494B1 (ko) 2003-05-02 2005-12-29 한국전자통신연구원 전기광학 및 비선형 광학 고분자로서의 곁사슬형폴리아미드 에스테르, 그것의 제조 방법 및 그것으로부터제조된 필름
US7235348B2 (en) 2003-05-22 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
KR101189397B1 (ko) 2003-10-15 2012-10-11 브레우어 사이언스 인코포레이션 비아-퍼스트 듀얼 다마신 적용예에서 사용되는 현상제에 용해성인 물질 및 상기 물질 사용 방법
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7012028B2 (en) * 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers
KR100639680B1 (ko) * 2005-01-17 2006-10-31 삼성전자주식회사 반도체 소자의 미세 패턴 형성방법
US20070018286A1 (en) * 2005-07-14 2007-01-25 Asml Netherlands B.V. Substrate, lithographic multiple exposure method, machine readable medium
KR20070087356A (ko) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
US7767385B2 (en) * 2006-03-09 2010-08-03 International Business Machines Corporation Method for lithography for optimizing process conditions
EP1845416A3 (en) 2006-04-11 2009-05-20 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for photolithography
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US8357618B2 (en) * 2007-10-26 2013-01-22 Applied Materials, Inc. Frequency doubling using a photo-resist template mask

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012018983A2 (en) * 2010-08-05 2012-02-09 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
WO2012018983A3 (en) * 2010-08-05 2012-05-18 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology

Also Published As

Publication number Publication date
WO2008022245A1 (en) 2008-02-21
JP5070287B2 (ja) 2012-11-07
US7914974B2 (en) 2011-03-29
TW200811922A (en) 2008-03-01
US20080044772A1 (en) 2008-02-21
TWI453792B (zh) 2014-09-21
EP2070107A4 (en) 2010-09-08
JP2010501881A (ja) 2010-01-21
EP2070107B1 (en) 2016-12-14
KR101506353B1 (ko) 2015-03-26
EP2070107A1 (en) 2009-06-17

Similar Documents

Publication Publication Date Title
KR101506353B1 (ko) 다중 패터닝 공정을 위한 반사방지 이미지층
US8415083B2 (en) On-track process for patterning hardmask by multiple dark field exposures
JP5840954B2 (ja) 酸感応性、現像剤可溶性の下層反射防止膜
US9110372B2 (en) Anti-reflective coatings using vinyl ether crosslinkers
CN100561337C (zh) 低活化能含硅抗蚀剂体系
EP2841513B1 (en) Photosensitive, developer-soluble bottom anti-reflective coating material
US8257910B1 (en) Underlayers for EUV lithography
US20070207406A1 (en) Anti-reflective coatings using vinyl ether crosslinkers
EP2255377B1 (en) Method of forming a microelectronic structure comprising dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography and layer stack for its formation
CN1942826A (zh) 正性操作的可光成像的底部抗反射涂层
TW200301847A (en) Positive-working photoimageable bottom antireflective coating
JP4299670B2 (ja) ネガ型深紫外線フォトレジスト

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180308

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190312

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200311

Year of fee payment: 6