WO2023130877A1 - 半导体器件的制造方法及半导体器件 - Google Patents

半导体器件的制造方法及半导体器件 Download PDF

Info

Publication number
WO2023130877A1
WO2023130877A1 PCT/CN2022/136864 CN2022136864W WO2023130877A1 WO 2023130877 A1 WO2023130877 A1 WO 2023130877A1 CN 2022136864 W CN2022136864 W CN 2022136864W WO 2023130877 A1 WO2023130877 A1 WO 2023130877A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate
trench
semiconductor device
material layer
Prior art date
Application number
PCT/CN2022/136864
Other languages
English (en)
French (fr)
Inventor
郭帅
Original Assignee
长鑫存储技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 长鑫存储技术有限公司 filed Critical 长鑫存储技术有限公司
Publication of WO2023130877A1 publication Critical patent/WO2023130877A1/zh
Priority to US18/364,489 priority Critical patent/US20230380147A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate

Definitions

  • the present disclosure relates to but not limited to a method for manufacturing a semiconductor device and the semiconductor device.
  • the present disclosure provides a method for manufacturing a semiconductor device and the semiconductor device.
  • a method for manufacturing a semiconductor device including:
  • the transistors of the peripheral circuit layer are formed on the second substrate.
  • a semiconductor device including: a first substrate, an array structure layer, an insulating material layer, a second substrate, and a peripheral circuit layer stacked sequentially from bottom to top;
  • a memory cell array is arranged in the array structure layer; a transistor is arranged in the peripheral circuit layer.
  • FIG. 1 is a flowchart of a semiconductor device manufacturing method provided by an embodiment of the present disclosure.
  • FIGS. 2 to 19a and 19b are schematic structural diagrams of each step of a semiconductor device manufacturing method provided by an embodiment of the present disclosure.
  • 20a and 20b are schematic cross-sectional structure diagrams of a semiconductor device provided by an embodiment of the present disclosure.
  • 10-array structure layer 110-first substrate; 111-bit line; 112-word line; 120-stacked structure; 121-first sacrificial layer; 122-first supporting layer; 123-second sacrificial layer; 124 - second support layer; 125 - capacitance hole; 126 - second conductive material layer; 127 - first semiconductor material; 128 - etching hole; 129 - dielectric layer; 1201 - third conductive material layer; 1202 - second semiconductor Material; 130-isolation layer; 131-capacitor wire hole; 132-capacitor wire; 133-first conductive material layer; 140-intermediate structure layer; 20-insulating material layer; 300-second substrate; 30-peripheral circuit layer 301-drain/source region; 3011-channel region; 3012-isolation trench; 302-isolation structure; 303-gate dielectric layer; 304-conductive material layer; 305-contact material layer; 306-mask pattern; 307 - first trench; 30
  • the storage density of semiconductor devices There are generally two directions to increase the storage density. One is to continuously reduce the line width and the size of the storage unit (Cell); the other is to modify the layout (Layout) to overlap different functional areas in the vertical direction.
  • the currently known research directions mainly focus on how to reduce the size of the Cell, while less research is done on how to reduce the peripheral circuits.
  • the embodiment of the present disclosure adopts the second idea, and arranges the peripheral circuit layer above the array area to realize stacking in the vertical direction, thereby increasing the storage density.
  • FIG. 1 is a method for manufacturing a semiconductor device provided by an embodiment of the present disclosure, including the following steps:
  • Step S1 providing a first substrate.
  • the first substrate is a conventional semiconductor substrate for forming a memory cell array structure.
  • Step S2 forming an array structure layer on the first substrate.
  • the formation of the array structure layer of the storage unit in the present disclosure may be the formation process of the storage unit array composed of storage transistors and capacitors (1T1C) in the DRAM storage array (Array).
  • Step S3 forming an insulating material layer on the array structure layer.
  • the peripheral circuit layer In order to arrange the peripheral circuit layer above the array area, it is necessary to set a layer of insulating material on the array area to isolate the peripheral circuit layer and the array area, avoid electron migration between the peripheral circuit layer and the array area, and ensure The peripheral circuit layer and the array area do not affect each other, and both can work normally.
  • Step S4 forming a second substrate on the insulating material layer.
  • the drain/source region is formed by implanting ions, and the drain/source region is used to form the source and drain of the final transistor; it is also necessary to form several isolation structures at intervals between different drain/source regions, Separate the different transistors.
  • Step S5 forming transistors of the peripheral circuit layer on the second substrate.
  • a contact material layer and a conductive material layer connecting the drain/source regions are formed on the second substrate to form a final peripheral circuit transistor structure, which may be, for example, a CMOS structure.
  • the manufacturing method of the semiconductor device provided by the embodiment of the present disclosure adopts the method of vertically distributing the memory array at the bottom and the transistors of the logic circuit at the top, thereby reducing the area of the semiconductor device occupied by the peripheral circuit layer, and making the semiconductor device with the same area More storage units can be accommodated, and the storage density of the semiconductor device is improved.
  • FIGS. 19a and 19b are schematic structural diagrams of each step of the semiconductor device manufacturing method provided by an embodiment of the present disclosure.
  • the semiconductor device manufacturing method provided by the embodiment of the present disclosure will be further described in detail with reference to FIGS. 19a and 19b.
  • the left side of each accompanying drawing is the X-axis, that is, the sectional view along the extending direction of the vertical word line (WL); the middle is the sectional view of the X-axis projection plane; the right side is the Y-axis, That is, a cross-sectional view along the extending direction of the vertical bit line (BL).
  • step S1 is performed to provide a first substrate.
  • step S2 is performed to form an array structure layer on the first substrate.
  • the memory array structure mainly includes a word line (WL), a bit line (BL) and a capacitor structure.
  • bit line 111 and a word line 112 are formed in the first substrate 110 , and the bit line 111 and the word line 112 are perpendicular to each other.
  • Both the bit line 111 and the word line 112 are conductive materials, which can be metal or metal compound, such as one of tungsten (W)/tungsten nitride (WN)/tungsten silicide (WSi)/titanium nitride (TiN) or a combination of several.
  • the capacitor structure is continued to be formed above the word line and the bit line.
  • the isolation layer 130 can be a semiconductor insulating material, such as Si 3 N 4 material; the isolation layer 130 can also be designed as a multilayer structure, such as a three-layer stack structure, the first layer and the third layer are both Si 3 N 4 materials.
  • the process of connecting external capacitor wires is performed, and the specific process refers to FIG. 4 to FIG. 7 .
  • etch the capacitor wire hole 131 in the isolation layer 130 As shown in Figure 5, the top of the capacitor wire hole 131 is enlarged; as shown in Figure 6, deposit conductive material to form a capacitor wire 132, and make the first conductive material layer 133 cover the upper surface of the isolation layer 130; as shown in Figure 7, the conductive material on the isolation layer 130 is surface planarized, and the first conductive material layer 133 is removed, Make the top of the capacitor wire 132 flush with the top of the isolation layer 130 .
  • the material of the capacitor wire 132 may be metal tungsten (W).
  • an intermediate structure layer 140 is formed on the isolation layer 130 .
  • the intermediate structure layer 140 may be formed by depositing a semiconductor material, such as silicon boron compound (SiBN).
  • a stacked structure 120 is formed on the intermediate structure layer 140, and the stacked structure 120 includes a first sacrificial layer 121, a first supporting layer 122, a second sacrificial layer 123, and a second supporting layer deposited sequentially from bottom to top. 124.
  • the first sacrificial layer 121 and the second sacrificial layer 123 may be silicon dioxide (SiO 2 ) or borophosphosilicate glass (BPSG) material
  • the first support layer 122 and the second support layer 124 may be Si 3 N 4 material.
  • the second conductive material layer 126 may be a metal material, such as metal tungsten (W), titanium nitride (TiN).
  • a first semiconductor material 127 is deposited on the second conductive material layer 126 in the capacitor hole 125, filling the capacitor hole 125 and covering the second conductive material layer 126 on the upper surface of the stacked structure 120; as shown in FIG. 13 , etch back the first semiconductor material 127 and part of the second conductive material layer 126, remove the second conductive material layer 126 and the first semiconductor material 127 on the upper surface of the stacked structure 120, and only retain the second conductive material layer in the capacitor hole 125 126 and the first semiconductor material 127 ; planarization makes the second conductive material layer 126 and the first semiconductor material 127 in the capacitor hole 125 flush with the upper surface of the stacked structure 120 .
  • the first semiconductor material 127 may be amorphous silicon ( ⁇ -Si).
  • etching holes 128 are formed on the first supporting layer 122 and the second supporting layer 124, and the first sacrificial layer 121 and the second sacrificial layer 123 are removed through the etching holes 128; the stacked structure 120 at this time Only the first support layer 122 , the second support layer 124 , and the second conductive material layer 126 and the first semiconductor material 127 in the capacitor hole 125 remain.
  • dielectric layer 129 may be a high dielectric constant material layer.
  • a layer of third conductive material layer 1201 is formed on the surface of the dielectric layer 129 as an upper electrode, and the remaining space in the stacked structure 120 is filled with a second semiconductor material 1202, the second semiconductor material 1202 may be germanium Silicon (SiGe) material. So far, the capacitor structure is formed, and the array structure layer 10 is also completely completed.
  • SiGe germanium Silicon
  • step S3 is performed to form an insulating material layer 20 on the array structure layer 10 .
  • the insulating material layer 20 may be formed of Si 3 N 4 material.
  • step S4 is performed to form a second substrate 300 on the insulating material layer 20 .
  • the second substrate 300 may be formed of molybdenum disulfide (MoS 2 ).
  • step S4 the following steps are also included after step S4:
  • Step S41 implanting ions into the second substrate 300 to form a drain/source region 301 .
  • step S41 may include the following steps:
  • Step S411 forming a sacrificial layer (not shown in the figure) on the second substrate 300 ;
  • the sacrificial layer may be a thin layer of silicon dioxide to protect the second substrate 300 during ion implantation.
  • Step S412 implanting first doping type ions into the second substrate 300 to form a channel region 3011 ; wherein, the first doping type ions may be P-type doping.
  • Step S413 forming a mask pattern layer (not shown in the figure) on the sacrificial layer.
  • Step S414 implanting second doping type ions into the second substrate 300 through the sacrificial layer exposed by the mask pattern layer to form the drain/source region 301 ; wherein, the second doping type ions may be N-type doping.
  • Step S415 removing the sacrificial layer and the mask pattern layer.
  • Step S42 partially etching the second substrate 300 to form isolation trenches 3012 .
  • Step S43 forming an isolation structure 302 filling the isolation trench 3012 and covering the upper surface of the second substrate 300 ; the isolation structure 302 is used to isolate two adjacent transistors.
  • Step S44 forming a first trench 307 exposing the second substrate 300 in the isolation structure 302 .
  • the isolation structure 302 it is necessary to first form a mask pattern 306 on the upper surface of the isolation structure 302 to expose a part of the isolation structure 302; then, based on the mask pattern 306, the isolation structure 302 is etched to form a first trench 307, The mask pattern is shown in FIG. 17 ; finally, the mask pattern 306 is removed, and the semiconductor device structure formed is shown in FIG. 18 .
  • step S5 is performed, as shown in FIG. 19 a to FIG. 20 b , forming the transistor 310 of the peripheral circuit layer 30 on the second substrate 300 .
  • step S5 includes the following steps:
  • Step S51 as shown in FIGS. 19a and 19b, forming a gate dielectric layer 303 covering the surface of the isolation structure 302 and the first trench 307;
  • Step S52 partially etching the gate dielectric layer 303 and the isolation structure 302 to form a second trench 308 exposing the drain/source region 301;
  • step S53 as shown in FIGS. 20 a and 20 b , a contact material layer 305 is formed, and the contact material layer 305 covers the bottom surface of the second trench 308 .
  • the material of the contact material layer 305 is bismuth (Bi). Since the material MoS 2 of the second substrate 300 has good ohmic contact with the metal bismuth (Bi), the performance of the device can be further improved.
  • step S53 after forming the contact material layer 305 in step S53, further include:
  • a barrier layer (not shown in the figure) is formed, and the barrier layer covers the contact material layer 305 and the sidewalls of the second trench 308 .
  • Step S54 forming a conductive material layer 304 , and the conductive material layer 304 fills the second trench 308 and the first trench 307 .
  • the step S54 of forming the conductive material layer 304 includes:
  • Step S541 forming a conductive material layer 304, the conductive material layer 304 fills the second trench 308 and the first trench 307, and covers the upper surface of the gate dielectric layer 303;
  • Step S542 etching back the conductive material layer 304 on the upper surface of the gate dielectric layer 303 .
  • the lower surface of the second trench 308 is flush with the upper surface of the second substrate 300 .
  • the lower surface of the second trench 308 is lower than the upper surface of the second substrate 300 .
  • the width of the second trench 308 in the second substrate 300 is greater than the width of the second trench 308 in the isolation structure 302, that is, the second trench 308 is narrow at the top and wide at the bottom. shape, so that the width of the contact material layer 305 formed in the second substrate 300 is greater than the width of the conductive material layer 304 in the isolation structure 302, which can increase the contact between the contact material layer 305 and the drain/source region 301 area, further improving the performance of semiconductor devices.
  • the isolation structure 302, the gate dielectric layer 303, the contact material layer 305 and the conductive material layer 304 on the second substrate 300 form the peripheral circuit layer 30, wherein the gate dielectric layer 303, the contact material layer 305 and the conductive material layer 304 are combined with each other , forming the transistor 310 of the peripheral circuit layer 30 .
  • the manufacturing method of the semiconductor device provided by the embodiment of the present disclosure adopts the upper and lower distribution method of the storage array at the bottom and the transistors of the logic circuit at the top, thereby reducing the area of the semiconductor device occupied by the peripheral circuit, and enabling the same area of the semiconductor device to be Accommodating more storage units improves the storage density of semiconductor devices.
  • an embodiment of the present disclosure further provides a semiconductor device, which is manufactured by the method for manufacturing a semiconductor device provided in the foregoing embodiments.
  • Figures 20a and 20b are schematic cross-sectional structural views of a semiconductor device provided by an embodiment of the present disclosure. As shown in Figures 20a and 20b, the semiconductor device includes: a first substrate 110, an array structure layer 10, The insulating material layer 20 , the second substrate 300 and the peripheral circuit layer 30 ; the memory cell array is arranged in the array structure layer 10 ; the transistor 310 is arranged in the peripheral circuit layer 30 .
  • the scheme of the present disclosure adopts the upper and lower distribution mode of the storage array (Array) at the bottom and the transistors of the logic circuit at the top, thereby reducing the area of the semiconductor device occupied by the peripheral circuit, so that more storage devices can be accommodated on the same area of the semiconductor device. cells, increasing the storage density of semiconductor devices.
  • a plurality of isolation trenches 3012 are arranged at intervals on the upper surface of the second substrate 300, and drains/sources are arranged on both sides of each isolation trench 3012. region 301; the second substrate 300 also includes a plurality of isolation structures 302, and each isolation structure 302 fills an isolation trench 3012 and partially covers the upper surface of the drain/source region 301 on both sides; between two adjacent isolation structures 302 A first trench 307 is formed on the surface of the second substrate 300 between them; a second trench 308 penetrating through the isolation structure 302 is provided above each drain/source region 301 .
  • the isolation structure 302 is used to isolate two adjacent transistors 310 to avoid mutual interference between adjacent transistors 310 to improve the performance of the semiconductor device.
  • the peripheral circuit layer 30 includes a gate dielectric layer 303, a contact material layer 305 and a conductive material layer 304; the gate dielectric layer 303 covers the upper surface of the isolation structure 302 and the first trench 307 The contact material layer 305 covers the bottom surface of the second trench 308 ; the conductive material layer 304 fills the remaining part of the second trench 308 and the first trench 307 .
  • the gate dielectric layer 303 , the contact material layer 305 and the conductive material layer 304 are combined with each other to form the transistor 310 of the peripheral circuit layer 30 .
  • the second substrate 300 is provided with a channel region 3011, and the channel region 3011 is formed by implanting ions of the first doping type; the drain/source region 301 is formed by implanting ions of the second doping type .
  • the first doping type ions are P-type doping, and the second doping type ions are N-type doping.
  • the peripheral circuit layer 30 further includes a barrier layer (not shown in the figure), and the barrier layer covers the contact material layer 305 and the sidewalls of the second trench 308 .
  • the barrier layer is sandwiched between the contact material layer 305 and the conductive material layer 304 , and between the conductive material layer 304 and the sidewall of the second trench 308 to prevent the conductive material layer 304 from being in direct contact with the contact material layer 305 and the isolation structure 302 .
  • the lower surface of the second trench 308 is flush with the upper surface of the second substrate 300 . It is necessary to ensure that the contact material layer 305 is in contact with the second substrate 300 to avoid being separated by the isolation structure 302 between the contact material layer 305 and the second substrate 300 .
  • the lower surface of the second trench 308 is lower than the upper surface of the second substrate 300 .
  • the width of the second trench 308 located in the second substrate 300 is larger than the width of the second trench 308 located in the isolation structure 302, that is, the second trench 308 has a narrow top and a wide bottom, so that the formed
  • the width of the contact material layer 305 located in the second substrate 300 is greater than the width of the conductive material layer 304 located in the isolation structure 302, so that the contact area between the contact material layer 305 and the second substrate 300 can be increased, thereby improving the semiconductor performance. device performance.
  • the material of the contact material layer 305 is bismuth, and the material of the second substrate 300 is molybdenum disulfide.
  • the manufacturing method of the semiconductor device in the embodiment of the present disclosure is similar to that of the semiconductor device in the above embodiment.
  • the technical features not disclosed in detail in the embodiment of the present disclosure please refer to the above embodiment for understanding, and details will not be repeated here.
  • the disclosed solution redesigns the DRAM layout, designs the transistors of the logic circuit on the upper part of the memory array, uses MoS 2 (molybdenum disulfide) to solve the substrate problem, and at the same time, because MoS 2 and the semimetal bismuth (Bi) have good ohmic Contact, so the performance of the device can be further improved.
  • MoS 2 mobdenum disulfide
  • the transistors and memory arrays of the peripheral logic circuit are distributed in parallel, the memory unit (Cell) accounts for 50% to 55% of the area on the semiconductor device, the core area (Core) accounts for 25% to 30%, and the peripheral circuit ( Periphery) accounts for about 20%; the disclosed scheme adopts the upper and lower distribution mode, which can save the area occupied by the peripheral circuit part, accommodate more storage units on the semiconductor device, and increase the storage density of the semiconductor device.
  • the storage array is arranged at the bottom and the transistors of the logic circuit are distributed up and down at the top, thereby reducing the area of the semiconductor device occupied by the peripheral circuit and making the same area of the semiconductor device More storage units can be accommodated on the surface, which improves the storage density of semiconductor devices.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

本公开实施例公布了一种半导体器件的制造方法及半导体器件,涉及半导体技术领域,该方法包括:提供第一衬底;在第一衬底上形成阵列结构层;在阵列结构层上方形成绝缘材料层;在绝缘材料层上形成第二衬底;在第二衬底上形成外围电路层的晶体管。

Description

半导体器件的制造方法及半导体器件
本公开基于申请号为202210010114.9、申请日为2022年01月06日、申请名称为“半导体器件的制造方法及半导体器件”的中国专利申请提出,并要求该中国专利申请的优先权,该中国专利申请的全部内容在此引入本公开作为参考。
技术领域
本公开涉及但不限于一种半导体器件的制造方法及半导体器件。
背景技术
随着半导体行业的发展,如何进一步增加存储密度、降低成本是半导体领域相关人员重要的研究课题,目前已知的研究方向主要集中在如何降低存储单元(Cell)的尺寸大小,而如何减少外围电路则研究较少。
目前已知的,传统的半导体器件通常采用逻辑电路的晶体管和存储阵列(Array)平行分布的方式。然而以目前的技术条件来看,存储单元的尺寸已经接近当前工艺水平的物理极限,很难再通过缩小存储单元的尺寸来提升半导体器件的存储密度。
发明内容
以下是对本公开详细描述的主题的概述。本概述并非是为了限制权利要求的保护范围。
本公开提供一种半导体器件的制造方法及半导体器件。
根据本公开实施例的第一方面,提供一种半导体器件的制造方法,包括:
提供第一衬底;
在所述第一衬底上形成阵列结构层;
在所述阵列结构层上方形成绝缘材料层;
在所述绝缘材料层上形成第二衬底;
在所述第二衬底上形成外围电路层的晶体管。
根据本公开实施例的第二方面,提供一种半导体器件,包括:由下至上依次堆叠的第一衬底、阵列结构层、绝缘材料层、第二衬底和外围电路层;
所述阵列结构层中设置有存储单元阵列;所述外围电路层中设置有晶体管。
在阅读并理解了附图和详细描述后,可以明白其他方面。
附图说明
并入到说明书中并且构成说明书的一部分的附图示出了本公开的实施例,并且与描述一起用于解释本公开实施例的原理。在这些附图中,类似的附图标记用于表示类似的要素。下面描述中的附图是本公开的一些实施例,而不是全部实施例。对于本领域技术人员来讲,在不付出创造性劳动的前提下,可以根据这些附图获得其他的附图。
图1是本公开一个实施例提供的半导体器件制造方法的流程图。
图2至图19a和19b是本公开一个实施例提供的半导体器件制造方法的各步骤结构示意图。
图20a和20b是本公开一个实施例提供的半导体器件的一种剖面结构示意图。
附图标记说明:
10-阵列结构层;110-第一衬底;111-位线;112-字线;120-层叠结构;121-第一牺牲层;122-第一支撑层;123-第二牺牲层;124-第二支撑层;125-电容孔;126-第二导电材料层;127-第一半导体材料;128-刻蚀孔;129-电介质层;1201-第三导电材料层;1202-第二半导体材料;130-隔离层;131-电容导线孔;132-电容导线;133-第一导电材料层;140-中间结构层;20-绝缘材料层;300-第二衬底;30-外围电路层;301-漏/源区;3011-沟道区;3012-隔离沟槽;302-隔离结构;303-栅电介质层;304-导电材料层;305-接触材料层;306-掩膜图案;307-第一沟槽;308-第二沟槽;310-晶体管。
具体实施方式
下面将结合本公开实施例中的附图,对公开实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例是本公开一部分实施例,而不是全部的实施例。基于本公开中的实施例,本领域技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本公开保护的范围。需要说明的是,在不冲突的情况下,本公开中的实施例及实施例中的特征可以相互任意组合。
随着半导体行业的发展,需要不断提高半导体器件的存储密度。而提高存储密度一般有两个方向,其一是不断减小线宽,缩小存储单元(Cell)的尺寸;另一方向是修改布局(Layout),将不同功能区在竖直方向上进行重叠。目前已知的研究方向主要集中在如何降低Cell大小方向,而如何减少外围电路则研究较少。本公开实施例采用第二种思路,将外围电路层布局在阵列区上方,实现竖直方向上的堆叠,从而提高存储密度。
图1为本公开一个实施例提供的一种半导体器件的制造方法,包括以下步骤:
步骤S1、提供第一衬底。第一衬底为常规的半导体衬底,用于形成存储单元阵列结构。
步骤S2、在第一衬底上形成阵列结构层。本公开中形成存储单元的阵列结构层的可以是DRAM存储阵列(Array)中存储晶体管和电容(1T1C)构成的存储单元阵列的形成过程。
步骤S3、在阵列结构层上方形成绝缘材料层。为了将外围电路层布局在阵列区上方,需要先在阵列区上设置一层绝缘材料,以将外围电路层和阵列区隔离开,避免电子在外围电路层和阵列区之间发生电子迁移,保证外围电路层和阵列区互不影响,二者都可以正常工作。
步骤S4、在绝缘材料层上形成第二衬底。形成第二衬底后,通过注入离子形成漏/源区,漏/源区用于形成最终的晶体管的源极和漏极;还需要在不同的漏/源区之间间隔形成若干隔离结构,将不同的晶体管隔离开。
步骤S5、在第二衬底上形成外围电路层的晶体管。在第二衬底上形成连接漏/源区的接触材料层、导电材料层,形成最终的外围电路的晶体管结构,例如可以是CMOS结构。
本公开实施例提供的半导体器件的制造方法,采用存储阵列在底部、逻辑电路的晶体管在顶部的上下分布方式,从而减少了外围电路层所占用的半导体器件的面积,使相同面积的半导体器件上能够容纳更多的存储单元,提高了半导体器件的存储密度。
图2至19a和19b为本公开一个实施例提供的半导体器件制造方法的各步骤结构示意图,接下来参考图至19a和19b对本公开实施例提供的半导体器件的制造方法作进一步详细说明。图2至19a和19b中,每一个附图的左侧为X轴,也即沿垂直字线(WL)延伸方向的剖面图;中间为X轴投影面的剖面图;右侧为Y轴,也即沿垂直位线(BL)延伸方向的剖面图。
首先,执行步骤S1,提供第一衬底。
接下来,执行步骤S2,在第一衬底上形成阵列结构层。存储阵列结构主要包括字线(WL)、位线(BL)和电容结构。
如图2所示,最先在第一衬底110中形成位线111和字线112,位线111和字线112互相垂直。位线111和字线112均为导电材料,可以是金属或金属化合物,比如可以是钨(W)/氮化钨(WN)/硅化钨(WSi)/氮化钛(TiN)中的一种或多种的组合。
本公开实施例中,形成字线和位线后,在字线和位线上方继续形成电容结构。
如图3所示,形成电容结构之前,需要在第一衬底110上形成隔离层130。隔离层130可以是半导体绝缘材料,比如可以是Si 3N 4材料;隔离层130也可以设计为多层结构,比如可以为三层的层叠结构,第一层和第三层均为Si 3N 4材料。
形成隔离层130之后,进行外接电容导线制程,具体过程参照图4至图7。如图4所示,在隔离层130中刻蚀出电容导线孔131;如图5所示,将电容导线孔131顶部放大;如图6所示,在放大后的电容导线孔131中沉积导电材料,形成电容导线132,并使第一导电材料层133覆盖隔离层130的上表面;如图7所示,对隔离层130上的导电材料进行表面平坦化,去除第一导电材料层133,使电容导线132的顶端与隔离层130的顶端齐平。在一些实施例中,电容导线132的材质可以是金属钨(W)。
接下来进行电容结构的制作,具体过程参照图8至图16。
如图8所示,在隔离层130上形成一层中间结构层140。在一些实施例中,中间结构层140可以是半导体材料沉积形成的,比如可以是硅硼化合物(SiBN)。
如图9所示,在中间结构层140上形成层叠结构120,层叠结构120包括由下至上依次沉积形成的第一牺牲层121、第一支撑层122、第二牺牲层123、第二支撑层124。在一些实施例中,第一牺牲层121、第二牺牲层123可以是二氧化硅(SiO 2)或硼磷硅玻璃(BPSG)材料,第一支撑层122、第二支撑层124可以是Si 3N 4材料。
如图10所示,在层叠结构120中刻蚀出电容孔125;如图11所示,在层叠结构120上表面、电容孔125的侧壁及底部沉积一层第二导电材料层126作为电容下电极。在一些实施例中,第二导电材料层126可以是金属材质,如金属钨(W),氮化钛(TiN)。
如图12所示,在电容孔125中的第二导电材料层126上沉积第一半导体材料127,填充电容孔125并覆盖层叠结构120上表面的第二导电材料层126;如图13所示,回刻蚀第一半导体材料127和部分第二导电材料层126,去除层叠结构120上表面的第二导电材料层126和第一半导体材料127,仅保留电容孔125中的第二导电材料层126和第一半导体材料127;平坦化使电容孔125中的第二导电材料层126和第一半导体材料127与层叠结构120的上表面齐平。在一些实施例中,第一半导体材料127可以是非晶硅(α-Si)。
如图14所示,在第一支撑层122、第二支撑层124上形成刻蚀孔128,通过刻蚀孔128将第一牺牲层121、第二牺牲层123去除;此时的层叠结构120中仅保留第一支撑层122、第二支撑层124以及电容孔125中的第二导电材料层126和第一半导体材料127。
如图15所示,在中间结构层140的上表面、第一支撑层122的上下表面、第二支撑层124的上下表面、电容孔中第二导电材料层126的外侧四周,均覆盖一层电介质层129。在一些实施例中,电介质层129可以是高介电常数材料层。
如图16所示,在电介质层129的表面形成一层第三导电材料层1201作为上电极,并在层叠结构120中的剩余空间填充第二半导体材料1202,第二半导体材料1202可以是锗 化硅(SiGe)材料。至此,电容结构成型,阵列结构层10也全部完成。
接下来,如图17所示,执行步骤S3,在阵列结构层10上方形成绝缘材料层20。
在一些实施例中,绝缘材料层20可以是Si 3N 4材料形成的。
接下来,如图17所示,执行步骤S4,在绝缘材料层20上形成第二衬底300。
在一些实施例中,第二衬底300可以是二硫化钼(MoS 2)材料形成的。
一些实施例中,如图18所示,步骤S4之后还包括如下步骤:
步骤S41、在第二衬底300中注入离子,形成漏/源区301。
一些实施例中,如图17和图18所示,步骤S41可以包括以下步骤:
步骤S411、在第二衬底300上形成牺牲层(图中未示出);牺牲层可以是一层薄薄的二氧化硅层,在离子注入时对第二衬底300进行保护。
步骤S412、在第二衬底300中注入第一掺杂型离子,形成沟道区3011;其中,第一掺杂型离子可以是P型掺杂。
步骤S413、在牺牲层上形成掩膜图形层(图中未示出)。
步骤S414、通过掩膜图形层暴露的牺牲层对第二衬底300中注入第二掺杂型离子,形成漏/源区301;其中,第二掺杂型离子可以是N型掺杂。
步骤S415、去除牺牲层和掩膜图形层。
步骤S42、部分刻蚀第二衬底300以形成隔离沟槽3012。
步骤S43、形成填充隔离沟槽3012且覆盖第二衬底300上表面的隔离结构302;隔离结构302用于隔离开相邻的两个晶体管。
步骤S44、在隔离结构302中形成暴露第二衬底300的第一沟槽307。
本公开实施例中,需要先在隔离结构302的上表面形成掩膜图案306,暴露出部分隔离结构302;然后基于该掩膜图案306对隔离结构302进行刻蚀,形成第一沟槽307,掩膜图案如图17所示;最后去除掩膜图案306,形成的半导体器件结构如图18所示。
接下来,执行步骤S5,如图19a至图20b所示,在第二衬底300上形成外围电路层30的晶体管310。
一些实施例中,步骤S5包括如下步骤:
步骤S51、如图19a和19b所示,形成覆盖隔离结构302和第一沟槽307表面的栅电介质层303;
步骤S52、部分刻蚀栅电介质层303和隔离结构302,形成暴露漏/源区301的第二沟槽308;
步骤S53、如图20a和20b所示,形成接触材料层305,接触材料层305覆盖第二沟槽308底面。
一些实施例中,接触材料层305的材料为金属铋(Bi)。由于第二衬底300的材料MoS 2和金属铋(Bi)具有很好的欧姆接触,因此可以进一步提升器件的性能。
一些实施例中,在步骤S53形成接触材料层305之后,还包括:
形成阻挡层(图中未示出),阻挡层覆盖接触材料层305及第二沟槽308的侧壁。
步骤S54、形成导电材料层304,导电材料层304填充第二沟槽308和第一沟槽307。
一些实施例中,步骤S54形成导电材料层304的步骤包括:
步骤S541、形成导电材料层304,导电材料层304填充第二沟槽308和第一沟槽307,且覆盖栅电介质层303上表面;
步骤S542、回刻栅电介质层303上表面的导电材料层304。
在一些实施例中,如图19b所示,第二沟槽308的下表面与第二衬底300的上表面齐平。
在另一些实施例中,如图20a所示,第二沟槽308的下表面低于第二衬底300的上表面。在这些实施例中,位于第二衬底300内的第二沟槽308的宽度大于位于隔离结构302内的第二沟槽308的宽度,也就是说,第二沟槽308呈上窄下宽的形状,使得形成的位于第二衬底300内的接触材料层305的宽度大于位于隔离结构302内的导电材料层304的宽度,这样可以增大接触材料层305与漏/源区301的接触面积,进一步提升半导体器件的性能。
位于第二衬底300上的隔离结构302、栅电介质层303、接触材料层305和导电材料层304形成外围电路层30,其中,栅电介质层303、接触材料层305和导电材料层304互相结合,形成外围电路层30的晶体管310。
本公开实施例提供的半导体器件的制造方法,采用存储阵列在底部、逻辑电路的晶体管在顶部的上下分布方式,从而减少了外围电路所占用的半导体器件的面积,使相同面积的半导体器件上能够容纳更多的存储单元,提高了半导体器件的存储密度。
除此之外,本公开实施例还提供一种半导体器件,半导体器件通过上述实施例提供的半导体器件的制造方法进行制造。图20a和20b为本公开一个实施例提供的半导体器件的一种剖面结构示意图,如图20a和20b所示,半导体器件包括:由下至上依次堆叠的第一衬底110、阵列结构层10、绝缘材料层20、第二衬底300和外围电路层30;阵列结构层10中设置有存储单元阵列;外围电路层30中设置有晶体管310。
本公开的方案采用存储阵列(Array)在底部、逻辑电路的晶体管在顶部的上下分布方式,从而减少了外围电路所占用的半导体器件的面积,使相同面积的半导体器件上能够容纳更多的存储单元,提高了半导体器件的存储密度。
一些实施例中,如图17、图18和图19b所示,第二衬底300的上表面间隔设置有多个隔离沟槽3012,每一个隔离沟槽3012的两侧均设置有漏/源区301;第二衬底300还包括多个隔离结构302,每个隔离结构302填充一个隔离沟槽3012且部分覆盖两侧的漏/源区301上表面;相邻的两个隔离结构302之间的第二衬底300的表面形成第一沟槽307;每个漏/源区301的上方均设置有贯穿隔离结构302的第二沟槽308。
隔离结构302用于隔离开相邻的两个晶体管310,避免相邻的晶体管310之间互相产生干扰,以提升半导体器件的性能。
一些实施例中,如图20a和20b所示,外围电路层30包括栅电介质层303、接触材料层305和导电材料层304;栅电介质层303覆盖隔离结构302和第一沟槽307的上表面;接触材料层305覆盖第二沟槽308的底面;导电材料层304填充第二沟槽308的剩余部分和第一沟槽307。栅电介质层303、接触材料层305和导电材料层304互相结合,形成外围电路层30的晶体管310。
一些实施例中,第二衬底300中设置有沟道区3011,沟道区3011是通过注入第一掺杂型离子形成的;漏/源区301是通过注入第二掺杂型离子形成的。其中,第一掺杂型离子为P型掺杂,第二掺杂型离子为N型掺杂。
一些实施例中,外围电路层30还包括阻挡层(图中未示出),阻挡层覆盖接触材料层305及第二沟槽308的侧壁。阻挡层夹在接触材料层305与导电材料层304之间、导电材料层304与第二沟槽308的侧壁之间,防止导电材料层304与接触材料层305、隔离结构302直接接触。
一些实施例中,第二沟槽308的下表面与第二衬底300的上表面齐平。需要保证接触材料层305与第二衬底300相接触,避免接触材料层305与第二衬底300之间被隔离结构302所分隔。
在一些实施例中,第二沟槽308的下表面低于第二衬底300的上表面。位于第二衬底300内的第二沟槽308的宽度大于位于隔离结构302内的第二沟槽308的宽度,也就是说,第二沟槽308呈上窄下宽的形状,使得形成的位于第二衬底300内的接触材料层305的宽度大于位于隔离结构302内的导电材料测层304的宽度,这样可以增大接触材料层305与第二衬底300的接触面积,从而提升半导体器件的性能。
一些实施例中,接触材料层305的材料为铋,第二衬底300的材料为二硫化钼。
本公开实施例中的半导体器件与上述实施例中的半导体器件的制造方法类似,对于本公开实施例未详尽披露的技术特征,请参考上述实施例进行理解,这里不再赘述。
本公开方案将DRAM排版进行重新设计,将逻辑电路的晶体管设计在存储阵列上部,使用MoS 2(二硫化钼)解决衬底问题,同时由于MoS 2和半金属铋(Bi)具有很好的欧姆接触,因此可以进一步提升器件的性能。本领域技术人员能够理解,本方案也可应用于其他半导体器件中,如可以应用于静态随机存储器(Static Random-Access Memory,SRAM)、快闪存储器(flash EPROM)、铁电随机存储器(Ferroelectric Random-Access Memory,FeRAM)、磁性随机存储器(Magnetic Random Access Memory,MRAM)、相变随机存储器(Phase change Random-Access Memory,PRAM)等存储器件中。
传统方案中外围逻辑电路的晶体管和存储阵列平行分布,存储单元(Cell)在半导体器件上的面积占比为50%~55%,核心区(Core)占比25%~30%,外围电路(Periphery)占比约20%;本公开方案采用上下分布方式后,可以节省外围电路部分所占用的面积,在半导体器件上容纳更多的存储单元,提高半导体器件的存储密度。
本说明书中各实施例或实施方式采用递进的方式描述,每个实施例重点说明的都是与其他实施例的不同之处,各个实施例之间相同相似部分相互参见即可。
在本说明书的描述中,参考术语“实施例”、“示例性的实施例”、“一些实施方式”、“示意性实施方式”、“示例”等的描述意指结合实施方式或示例描述的具体特征、结构、材料或者特点包含于本公开的至少一个实施方式或示例中。
在本说明书中,对上述术语的示意性表述不一定指的是相同的实施方式或示例。而且,描述的具体特征、结构、材料或者特点可以在任何的一个或多个实施方式或示例中以合适的方式结合。
在本公开的描述中,需要说明的是,术语“中心”、“上”、“下”、“左”、“右”、“竖直”、“水平”、“内”、“外”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述本公开和简化描述,而不是指示或暗示所指的装置或元件必须具有特定的方位、以特定的方位构造和操作,因此不能理解为对 本公开的限制。
可以理解的是,本公开所使用的术语“第一”、“第二”等可在本公开中用于描述各种结构,但这些结构不受这些术语的限制。这些术语仅用于将第一个结构与另一个结构区分。
在一个或多个附图中,相同的元件采用类似的附图标记来表示。为了清楚起见,附图中的多个部分没有按比例绘制。此外,可能未示出某些公知的部分。为了简明起见,可以在一幅图中描述经过数个步骤后获得的结构。在下文中描述了本公开的许多特定的细节,例如器件的结构、材料、尺寸、处理工艺和技术,以便更清楚地理解本公开。但正如本领域技术人员能够理解的那样,可以不按照这些特定的细节来实现本公开。
最后应说明的是:以上各实施例仅用以说明本公开的技术方案,而非对其限制;尽管参照前述各实施例对本公开进行了详细的说明,本领域技术人员应当理解:其依然可以对前述各实施例所记载的技术方案进行修改,或者对其中部分或者全部技术特征进行等同替换;而这些修改或者替换,并不使相应技术方案的本质脱离本公开各实施例技术方案的范围。
工业实用性
本公开提供的半导体器件的制造方法及半导体器件中,采用存储阵列在底部、逻辑电路的晶体管在顶部的上下分布方式,从而减少了外围电路所占用的半导体器件的面积,使相同面积的半导体器件上能够容纳更多的存储单元,提高了半导体器件的存储密度。

Claims (19)

  1. 一种半导体器件的制造方法,包括:
    提供第一衬底;
    在所述第一衬底上形成阵列结构层;
    在所述阵列结构层上方形成绝缘材料层;
    在所述绝缘材料层上形成第二衬底;
    在所述第二衬底上形成外围电路层的晶体管。
  2. 根据权利要求1所述的半导体器件的制造方法,其中,在所述绝缘材料层上形成第二衬底之后,还包括:
    在所述第二衬底中注入离子,形成漏/源区;
    部分刻蚀所述第二衬底以形成隔离沟槽;
    形成填充所述隔离沟槽且覆盖所述第二衬底上表面的隔离结构;
    在所述隔离结构中形成暴露所述第二衬底的第一沟槽。
  3. 根据权利要求2所述的半导体器件的制造方法,其中,在所述第二衬底上形成外围电路层的晶体管,包括:
    形成覆盖所述隔离结构和所述第一沟槽表面的栅电介质层;
    部分刻蚀所述栅电介质层和所述隔离结构,形成暴露所述漏/源区的第二沟槽;
    形成接触材料层,所述接触材料层覆盖所述第二沟槽底面;
    形成导电材料层,所述导电材料层填充所述第二沟槽和所述第一沟槽。
  4. 根据权利要求3所述的半导体器件的制造方法,其中,所述形成导电材料层,包括:
    形成导电材料层,所述导电材料层填充所述第二沟槽和所述第一沟槽,且覆盖所述栅电介质层上表面;
    回刻所述栅电介质层上表面的导电材料层。
  5. 根据权利要求2所述的半导体器件的制造方法,其中,在所述第二衬底中注入离子,形成漏/源区,包括:
    在所述第二衬底上形成牺牲层;
    在所述第二衬底中注入第一掺杂型离子,形成沟道区;
    在所述牺牲层上形成掩膜图形层;
    通过所述掩膜图形层暴露的牺牲层对所述第二衬底中注入第二掺杂型离子,形成漏/源区;
    去除所述牺牲层和掩膜图形层。
  6. 根据权利要求3所述的半导体器件的制造方法,其中,在形成接触材料层之后,还包括:
    形成阻挡层,所述阻挡层覆盖所述接触材料层及所述第二沟槽的侧壁。
  7. 根据权利要求3所述的半导体器件的制造方法,其中,所述第二沟槽的下表面与所述第二衬底的上表面齐平。
  8. 根据权利要求3所述的半导体器件的制造方法,其中,所述第二沟槽的下表面低于所述第二衬底的上表面。
  9. 根据权利要求7所述的半导体器件的制造方法,其中,位于所述第二衬底内的所述第二沟槽的宽度大于位于所述隔离结构内的第二沟槽的宽度。
  10. 根据权利要求3所述的半导体器件的制造方法,其中,所述接触材料层的材料为铋,所述第二衬底的材料为二硫化钼。
  11. 一种半导体器件,包括:由下至上依次堆叠的第一衬底、阵列结构层、绝缘材料层、第二衬底和外围电路层;
    所述阵列结构层中设置有存储单元阵列;所述外围电路层中设置有晶体管。
  12. 根据权利要求11所述的半导体器件,其中,所述第二衬底的上表面间隔设置有多个隔离沟槽,每一个所述隔离沟槽的两侧均设置有漏/源区;所述第二衬底还包括多个隔离结构,每个所述隔离结构填充一个所述隔离沟槽且部分覆盖两侧的所述漏/源区上表面;相邻的两个所述隔离结构之间的所述第二衬底的表面形成第一沟槽;每个所述漏/源区的上方均设置有贯穿所述隔离结构的第二沟槽。
  13. 根据权利要求12所述的半导体器件,其中,所述外围电路层包括栅电介质层、接触材料层和导电材料层;所述栅电介质层覆盖所述隔离结构和所述第一沟槽的上表面;所述接触材料层覆盖所述第二沟槽的底面;所述导电材料层填充所述第二沟槽的剩余部分和所述第一沟槽。
  14. 根据权利要求12所述的半导体器件,其中,所述第二衬底中设置有沟道区,所述沟道区是通过注入第一掺杂型离子形成的;所述漏/源区是通过注入第二掺杂型离子形成的。
  15. 根据权利要求13所述的半导体器件,其中,所述外围电路层还包括阻挡层,所述阻挡层覆盖所述接触材料层及所述第二沟槽的侧壁。
  16. 根据权利要求13所述的半导体器件,其中,所述第二沟槽的下表面与所述第二衬底的上表面齐平。
  17. 根据权利要求13所述的半导体器件,其中,所述第二沟槽的下表面低于所述第二衬底的上表面。
  18. 根据权利要求17所述的半导体器件,其中,位于所述第二衬底内的所述第二沟槽的宽度大于位于所述隔离结构内的第二沟槽的宽度。
  19. 根据权利要求13所述的半导体器件,其中,所述接触材料层的材料为铋,所述第二衬底的材料为二硫化钼。
PCT/CN2022/136864 2022-01-06 2022-12-06 半导体器件的制造方法及半导体器件 WO2023130877A1 (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US18/364,489 US20230380147A1 (en) 2022-01-06 2023-08-03 Manufacturing method of semiconductor device and semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202210010114.9 2022-01-06
CN202210010114.9A CN116456713A (zh) 2022-01-06 2022-01-06 半导体器件的制造方法及半导体器件

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/364,489 Continuation US20230380147A1 (en) 2022-01-06 2023-08-03 Manufacturing method of semiconductor device and semiconductor device

Publications (1)

Publication Number Publication Date
WO2023130877A1 true WO2023130877A1 (zh) 2023-07-13

Family

ID=87073050

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2022/136864 WO2023130877A1 (zh) 2022-01-06 2022-12-06 半导体器件的制造方法及半导体器件

Country Status (3)

Country Link
US (1) US20230380147A1 (zh)
CN (1) CN116456713A (zh)
WO (1) WO2023130877A1 (zh)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101090117A (zh) * 2006-06-12 2007-12-19 中芯国际集成电路制造(上海)有限公司 半导体存储器件及其制造方法
CN105261617A (zh) * 2015-10-28 2016-01-20 中国科学院微电子研究所 三维半导体器件及其制造方法
CN110537259A (zh) * 2019-06-28 2019-12-03 长江存储科技有限责任公司 三维存储器件中的存储器内计算
CN111758164A (zh) * 2020-04-14 2020-10-09 长江存储科技有限责任公司 三维存储器件和用于形成其的方法
CN112041986A (zh) * 2020-07-31 2020-12-04 长江存储科技有限责任公司 用于形成具有用于阶梯区域的支持结构的三维存储器件的方法
US20210111089A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices having hydrogen blocking layer and fabrication methods thereof
CN113725226A (zh) * 2021-08-30 2021-11-30 长江存储科技有限责任公司 三维存储器及其制造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101090117A (zh) * 2006-06-12 2007-12-19 中芯国际集成电路制造(上海)有限公司 半导体存储器件及其制造方法
CN105261617A (zh) * 2015-10-28 2016-01-20 中国科学院微电子研究所 三维半导体器件及其制造方法
CN110537259A (zh) * 2019-06-28 2019-12-03 长江存储科技有限责任公司 三维存储器件中的存储器内计算
US20210111089A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices having hydrogen blocking layer and fabrication methods thereof
CN111758164A (zh) * 2020-04-14 2020-10-09 长江存储科技有限责任公司 三维存储器件和用于形成其的方法
CN112041986A (zh) * 2020-07-31 2020-12-04 长江存储科技有限责任公司 用于形成具有用于阶梯区域的支持结构的三维存储器件的方法
CN113725226A (zh) * 2021-08-30 2021-11-30 长江存储科技有限责任公司 三维存储器及其制造方法

Also Published As

Publication number Publication date
US20230380147A1 (en) 2023-11-23
CN116456713A (zh) 2023-07-18

Similar Documents

Publication Publication Date Title
CN110071108B (zh) 半导体存储器元件及其制作方法
US5959322A (en) Isolated SOI memory structure with vertically formed transistor and storage capacitor in a substrate
US8691680B2 (en) Method for fabricating memory device with buried digit lines and buried word lines
US8026138B2 (en) Method for manufacturing semiconductor apparatus having saddle-fin transistor and semiconductor apparatus fabricated thereby
US8558306B2 (en) Semiconductor device and method of manufacturing the same
KR100292943B1 (ko) 디램장치의제조방법
US9048293B2 (en) Semiconductor device and method for manufacturing the same
JP2002094027A (ja) 半導体記憶装置とその製造方法
US7951667B2 (en) Method for fabricating semiconductor device
US7449382B2 (en) Memory device and fabrication method thereof
WO2023284123A1 (zh) 半导体结构及其制造方法
JP3795366B2 (ja) 記憶素子及びその製造方法
JP2011138883A (ja) 半導体装置及びその製造方法
US8399319B2 (en) Semiconductor device and method for manufacturing the same
KR20020062590A (ko) 반도체메모리장치 및 그 제조방법
US8492833B2 (en) Semiconductor device having a buried gate
JP2011165830A (ja) 半導体装置及びその製造方法
JP2000049301A (ja) 半導体記憶装置
JPH02312270A (ja) Dramセル及びその製造方法
US9231066B2 (en) Semiconductor device having vertical channel
CN215299254U (zh) 半导体器件
WO2023130877A1 (zh) 半导体器件的制造方法及半导体器件
US20190181222A1 (en) Semiconductor memory structure and method for preparing the same
WO2023178854A1 (zh) 半导体结构和半导体结构的制备方法
US20230320080A1 (en) Semiconductor memory device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22918329

Country of ref document: EP

Kind code of ref document: A1