WO2021206684A1 - Système de traitement de semi-conducteurs avec polarisation électrique in situ et procédés associés - Google Patents

Système de traitement de semi-conducteurs avec polarisation électrique in situ et procédés associés Download PDF

Info

Publication number
WO2021206684A1
WO2021206684A1 PCT/US2020/026904 US2020026904W WO2021206684A1 WO 2021206684 A1 WO2021206684 A1 WO 2021206684A1 US 2020026904 W US2020026904 W US 2020026904W WO 2021206684 A1 WO2021206684 A1 WO 2021206684A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor wafer
electrode
conductive layer
coupling
potential
Prior art date
Application number
PCT/US2020/026904
Other languages
English (en)
Inventor
David Hurley
Ioan Domsa
Ian Colgan
Gerhardus Van Der Linde
Patrick Hughes
Maciej Burel
Barry Clarke
Mihaela Ioana Popovici
Lars-Ake Ragnarsson
Original Assignee
Tokyo Electron Limited
Tokyo Electron U.S. Holdings, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron U.S. Holdings, Inc. filed Critical Tokyo Electron Limited
Priority to PCT/US2020/026904 priority Critical patent/WO2021206684A1/fr
Publication of WO2021206684A1 publication Critical patent/WO2021206684A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Definitions

  • the present invention relates generally to semiconductor processing systems and methods, and, in particular embodiments, to a system and method for semiconductor processing with in-situ electrical bias applied directly across conductive layers of a wafer.
  • IC semiconductor integrated circuits
  • layers of materials e.g., dielectric, metal, semiconductor, etc.
  • circuit components e.g., transistors and capacitors
  • interconnect elements e.g., lines, contacts, and vias
  • the minimum feature sizes have been periodically reduced with innovations such as immersion lithography and multiple patterning to reduce cost by increasing packing density.
  • Miniaturization of a component’s footprint may be augmented by increasing the component’s output per unit area.
  • the transistor drive-current per unit width or the capacitor stored-charge density may be enhanced by using thinner gate dielectric or thinner capacitor dielectric, respectively.
  • a method of fabricating a semiconductor device includes placing a semiconductor wafer into a processing chamber, the semiconductor wafer including a first conductive layer and a second conductive layer separated by an intermediate layer; applying an electrical bias voltage across the intermediate layer by coupling the first conductive layer to a first potential and coupling the second conductive layer to a second potential; and annealing the semiconductor wafer while applying the electrical bias voltage.
  • a system for processing semiconductor wafers includes a processing chamber; a substrate holder configured to support a semiconductor wafer; a heating element configured to heat the semiconductor wafer supported by the substrate holder; a first electrode configured to be detachably attached to a first major surface of a semiconductor wafer; and a first wire coupling the first electrode to a first potential node.
  • a system for processing semiconductor wafers includes a processing chamber; a substrate holder configured to support a plurality of semiconductor wafers in the processing chamber; a heating element configured to heat the plurality of semiconductor wafers supported by the substrate holder; a first electrode configured to be detachably attached to a first major surface of a semiconductor wafer; and a first wire coupling the first electrode to a first potential node.
  • Figure lA illustrates a cross-sectional view of a processing chamber of an electric- field annealer, in accordance with an embodiment of the invention
  • Figure lB illustrates a cross-sectional view of a processing chamber of an electric- field annealer, in accordance with an alternative embodiment of the invention
  • Figure 2 illustrates a perspective view of a load-rail of an electric-field annealer, in accordance with an embodiment of the invention
  • Figure 3 is a magnified perspective view of a detail of the perspective view illustrated in Figure 2;
  • Figure 4 illustrates a perspective view of a load-rail of an electric-field annealer, in accordance with an embodiment of the invention
  • Figure 5A is a magnified perspective view of a detail of the perspective view illustrated in Figure 2;
  • Figure 5B is a magnified perspective view from a different orientation of a detail of the perspective view illustrated in Figure 2;
  • Figures 6A-6C illustrate cross-sectional views of various semiconductor wafers placed in a processing chamber of an electric-field annealer, in accordance with an embodiment of the invention
  • This disclosure describes equipment and methods for processing semiconductor wafers while an electrical bias voltage is applied across two conductive layers of a wafer during processing.
  • the bias is applied via electrodes in direct electrical contact with the wafer and connected to a power supply located outside the processing chamber.
  • an anneal process performed concurrently with the electrical bias is referred to as an E-field anneal
  • the processing equipment used to perform an E-field anneal is referred to as an E- field annealer.
  • the electrical bias is used to subject a dielectric film in the wafer to a DC electric field (E-field) of a desired magnitude during a post-deposition anneal (PDA) process step.
  • E-field DC electric field
  • the process steps used to form a ferroelectric layer may comprise depositing a ferroelectric oxide, e.g., doped hafnium oxide, or doped hafnium zirconate, or perovskite oxides such as barium strontium titanate, or bismute. Numerous dopants such as La, Al, Si, Sr, Gd, and Y have shown to improve the ferroelectric behavior by distorting the crystal structure.
  • a ferroelectric oxide e.g., doped hafnium oxide, or doped hafnium zirconate, or perovskite oxides such as barium strontium titanate, or bismute.
  • Numerous dopants such as La, Al, Si, Sr, Gd, and Y have shown to improve the ferroelectric behavior by distorting the crystal structure.
  • the post deposition anneal (PDA) conditions play a significant role in inducing the desired non- centrosymmetrical orthorhombic phase with ferroelectric behavior.
  • the PDA step referred to as the ferroelectric anneal (FEA)
  • FEA ferroelectric anneal
  • the manufacturing flow of IC’s comprising electronic components using hafnium oxide based ferroelectric dielectrics ordinarily include an electrical cycling step, referred to herein as wake-up cycling, to obtain stable ferroelectric properties.
  • ferroelectric MOSFET ferroelectric MOSFET
  • ferroelectric capacitors may be built using, for example, ferroelectric dielectrics comprising, for example, hafnium oxide, wherein, during the crystallizing FEA, the dielectric is subjected to the applied DC E-field mentioned above using equipment and methods described in further detail below.
  • the E-field FEA technique used in the example embodiments may provide an advantage of shortening and, in some embodiments, eliminating the wake-up cycling. The wake-up effect is described in further detail below. It is understood that the E-field FEA technique described using various embodiments of this disclosure may provide similar benefit in forming ferroelectric layers using materials other than hafnium oxide based materials.
  • Dielectric materials may be polarized by an electric field (E).
  • E electric field
  • the electric polarization vector (P) in response to the E-field is generally a function of electric field E that is roughly linear and symmetric for centro-symmetric dielectrics.
  • a coercive electric field (Ec) of reverse polarity has to be applied to force P to zero in a ferroelectric dielectric.
  • the ferroelectric P vs.
  • E curve is nonlinear, having a roughly symmetric hysteresis loop.
  • some ferroelectric films such as hafnium oxide based ferroelectric thin films exhibit a wake-up effect, wherein the pristine film, fabricated using conventional processing (without an E-field anneal), has a pinched hysteresis curve (small P R ) that opens up to a stable, wider hysteresis loop (larger P R ) after it is cycled through relatively high forward (positive) and reverse (negative) E-fields multiple times, for example, for about 10 2 cycles to about 10 3 cycles.
  • every ferroelectric component comprising a pristine dielectric film with unstable P R has to be stabilized by wake-up cycling in order for the respective circuit to function as designed. Therefore, it may be recognized that the innovative E-field anneal technique, described in this disclosure, provides a significant advantage by reducing the number of wake-up cycles and, in some embodiments, eliminating the wake-up cycling step.
  • hysteresis in its P vs. E characteristic allows a ferroelectric capacitor to be used as a nonvolatile memory (NVM) element.
  • NVM nonvolatile memory
  • a binary logic state of either “1” or “o” may be stored by forcing the ferroelectric capacitor into either the upper or lower branch of its P vs. E hysteresis loop with a high positive or negative bias voltage, respectively, to a corresponding state of high positive or negative polarization.
  • E o
  • a portion of the polarization is retained as the remanent polarization, +P R or -P R , according to whether the ferroelectric capacitor was forced into the upper or lower branch of its P vs.
  • E hysteresis loop Since the maximum displacement current (corresponding to the maximum slope of P vs. E) in each branch of the hysteresis curve occurs at opposite polarities, the stored information may be retrieved, for example, by sensing the capacitor current in response to a voltage ramp of a given polarity. Because of the criticality of a stable and high P R , as understood from the data storage and retrieval mechanisms explained above, a wake-up cycling step is ordinarily performed in manufacturing IC’s comprising hafnium oxide based ferroelectric NVM formed without the E-field FEA mentioned above.
  • E-field annealer and E- field FEA may provide the advantage of reducing the cost of hafnium oxide based ferroelectric NVM by reducing the number of wake-up cycles and, in some embodiments, eliminating the wake-up cycling step from the manufacturing flow.
  • Ferroelectrics may be used in forming a gate dielectric stack for FE-FET’s. If the remanent polarization of the gate dielectric stack is sufficiently high then, similar to the ferroelectric capacitor, the transistor, once programmed, may retain its state and remain ON or OFF even after the programming voltage is removed. Such an FE-FET may also be used to store digital information in an NVM cell. As explained above in the context of the hafnium oxide based ferroelectric capacitor NVM, the manufacturing cost of hafnium oxide based ferroelectric FE-FET NVM may be reduced by using the innovative E-field annealer and E-field FEA.
  • An FE-FET may also provide some advantages over a conventional (i.e., non- ferroelectric) MOSFET when used in digital logic or analog circuits.
  • the gate dielectric stack of an FE-FET used in a digital logic and/or an analog circuit comprises ferroelectric and non- ferroelectric thin films.
  • the ferroelectric portion of the gate dielectric stack provides a dynamic capacitance which, under certain bias sweep conditions (e.g., sweep rate or frequency), can result in a voltage snap-back due to the change in the polarization of the ferroelectric.
  • the FE- FET has been commonly referred to as the negative-capacitance, field-effect transistor (NCFET).
  • NFET negative-capacitance, field-effect transistor
  • SSFEFET steep-slope ferro-electric field-effect transistor
  • the ferroelectric properties (e.g., P R ) and the film thicknesses in the gate dielectric stack may have to be adjusted appropriately in order to achieve hysteresis-free transistor I-V and C-V curves.
  • hysteresis-free I-V and C-V curves implies stable transistor operation whereas, the presence of hysteresis may result in circuit instability and unintended electrical oscillations. It is understood that, from circuit stability considerations, PR has to remain stable and within a design window in order for the SSFEFET to provide the expected circuit benefits without making the circuit unstable. Accordingly, fabrication flows for SSFEFET’s that do not include the E-field FEA might incorporate a wake-up cycling step, while using the inventive E-field anneal technique described in this disclosure may provide the advantage of reducing cost by achieving stable ferroelectric properties with reduced wake-up cycling and, in some embodiments, without wake-up cycling.
  • the E-field anneal technique is described using a schematic illustration of a cross-sectional view of the processing chamber of the E-field annealer during an E-field anneal (e.g., an E-field FEA) process step, as shown in Figure lA along with an alternative embodiment in Figure lB.
  • E-field annealer is described further with reference to various perspective views of the load rail of the E-field annealer illustrated in Figures 2-5.
  • CMOS planar bulk complementary MOS
  • SOI silicon-on-insulator
  • capacitor components in IC’s commonly referred to as MIM capacitors, may be formed using metal layers for both the top and bottom electrodes of the capacitor.
  • non-ferroelectric and ferroelectric insulators distinguish between non-ferroelectric and ferroelectric insulators; a non-ferroelectric insulator is abbreviated to I and a ferroelectric insulator is abbreviated to F. Electrical connections made to the electrodes of MFM capacitors during the E-field FEA are described with reference to the cross-sectional view illustrated in Figure 6C.
  • Stacks of various combinations of material layers may be formed for use in ferroelectric electronic devices (e.g., transistors and capacitors).
  • the stack may comprise ferroelectric layers, along with non-ferroelectric dielectric layers, metal layers, and semiconductors.
  • Examples thereof include, but is not limited to the following stacks (with the layers listed from top-to-bottom): metal-ferroelectric- metal (MFM), metal-ferroelectric- insulator-metal (MFIM), metal-ferroelectric-insulator-semiconductor (MFIS), metal- ferroelectric-metal-semiconductor (MFMS), metal-ferroelectric-metal-insulator-semiconductor (MFMIS), semiconductor-ferroelectric-semiconductor (SFS), and semiconductor-ferroelectric- insulator-semiconductor (SFIS).
  • the example stacks maybe MFIS (e.g., in the FEFET/SSFEFET transistors) or MFM (e.g., in the capacitors with top and bottom metal electrodes).
  • FIG. lA schematically illustrates a cross-sectional view of a semiconductor wafer 50 placed on a substrate holder 10 inside a processing chamber 225 of an E-field annealer, which is an annealer equipped to perform an E-field anneal.
  • the processing chamber 225 comprises a heat treatment system 235 designed to heat treat a wafer placed within the processing chamber 225.
  • the heat treatment system 235 comprises a temperature controller controlling heating and cooling elements to maintain a desired temperature of the semiconductor wafer 50 within the processing chamber 225 by using lamps, resistive elements, and others placed in various locations inside or outside the processing chamber 225.
  • the semiconductor wafer 50 comprises a semiconductor substrate 20, an MOS- dielectric layer 30 formed over the semiconductor substrate 20, and a conductive top electrode layer 40 formed over the MOS-dielectric layer 30.
  • a first E-field annealer electrode in physical and electrical contact with the conductive top electrode layer 40.
  • the first E-field annealer electrode may comprise a conductive material that is immune to high temperature processing.
  • the first E-field annealer electrode may comprise tungsten.
  • the first E- field annealer electrode comprises a primary electrode 211 (e.g., tungsten ribbon) that is connected to a first terminal of a DC power supply 130 using a primary wire 110 of a suitable conductor (e.g., tungsten) that may be heated to a high temperature during annealing without being damaged.
  • a ribbon shape of the primary electrode 211 provides a spring-like action that helps prevent slippage and maintain a good physical connection with the surface of the semiconductor wafer 50 as it is heated during the anneal process.
  • the electric potential of the conductive top electrode layer 40 may be optionally monitored using a voltmeter 150 connected by a monitoring wire 112 (similar to the primary wire 110) to another monitoring electrode 212, for example, another tungsten ribbon placed in contact with the conductive top electrode layer 40.
  • the two electrodes are electrically shorted together by the conductive top electrode layer 40.
  • the primary electrode 211 and the monitoring electrode 212 may be collectively referred to as the first E-field annealer electrode 210.
  • the primary wire 110 and the monitoring wire 112 may be collectively referred to as the two wires 115.
  • the surface of the substrate holder 10, which is in physical contact with the backside of the semiconductor wafer 50, is used as the second E-field annealer electrode.
  • the surface of the substrate holder to may be coated with a suitable conductive material, for example, a silicon-based, carbon-based, silicon and carbon composite-based, or metal nitride-based coating, to obtain a conductive surface suitable for use as an electrode at the annealing temperature.
  • the backside and a portion of the semiconductor wafer 50 adjacent to the backside may be a conductive material such as n-type or p-type doped silicon or germanium, and may be in electrical contact with the surface of the substrate holder 10.
  • a backside etch may have been used to expose a conductive surface at the backside in order to establish an electrical contact between the backside of the semiconductor wafer 50 and the surface of the substrate holder 10.
  • the surface of the substrate holder 10, hence, the backside of the semiconductor wafer 50 may be connected to a reference potential, referred to as ground and indicated as GND in Figure lA.
  • the ground connection may be established using a secondary wire 113 similar to the primary wire 110.
  • the secondary wire 113 is electrically connected to the grounding wire that connects the conductive parts of the main structure of the equipment to the system ground.
  • the second terminal of the DC power supply 130 is also connected to ground (GND) to apply the bias voltage across the semiconductor wafer 50.
  • the voltage drop between the two terminals of the DC power supply may be adjusted to achieve an E-field having a desired polarity and E-field strength within a desired range in the MOS-dielectric layer 30.
  • the DC power supply 130 may be configured to supply a suitable voltage such as between 1 V and too V, and between 3 V and 10 V in one embodiment.
  • FIG. lA illustrates a single semiconductor wafer 50 inside the processing chamber 225, it is understood that multiple wafers, including dummy wafers, may be placed inside a suitably designed processing chamber.
  • the E-field annealer electrodes and electrical connections in Figure lA are shown configured for single-wafer processing. However, the E-field annealer configuration may be altered to anneal a batch of semiconductor wafers.
  • An example embodiment suitable for batch processing is illustrated in Figure lB.
  • a plurality of semiconductor wafers 50 are stacked horizontally on a slotted substrate holder 14 comprising an insulator that is immune to high temperature processing (e.g., a ceramic insulator).
  • the insulating material prevents the substrate holder 14 from creating electrical shorts between the conductive top and back sides of the semiconductor wafers 50.
  • the stacked wafers are shown loaded inside a processing chamber 226 of the E-field annealer.
  • Located inside the processing chamber 226 are two conductive buses: a first conductive bus 108 and a second conductive bus 109, secured above and below the slotted substrate holder 14, respectively.
  • the temperature inside the processing chamber 226 may be controlled by a heat treatment system 236.
  • each wafer is shown electrically connected to the first conductive bus 108 by a primary electrode 215 that is similar to the primary electrode 211 in Figure lA.
  • the connections between the first conductive bus 108 and the primary electrodes 215 may be established using connecting wires passing through openings in the slotted substrate holder 14.
  • the first E-field annealer electrode comprises the primary electrodes 215 and the first conductive bus 108.
  • the first E-field annealer electrode is connected to a DC power supply 130 using the primary wire 110, same as in Figure lA.
  • the conductive backside of each wafer may be connected to the second conductive bus 109 using secondary electrodes 216 and connecting wires (similar to the top side).
  • the second E-field annealer electrode comprising the secondary electrodes 216 and the second conductive bus 109, is connected to GND using a secondary wire 114.
  • the potential of the top side of the wafers may be monitored by connecting the first conductive bus 108 to the voltmeter 150 using a monitoring wire 112, as illustrated in Figure lB.
  • the E-field annealer described above with reference to Figure lB is suitable for batch processing wafers arranged in a horizontal stack.
  • the design of the horizontal processing chamber 226 may be modified to provide a similar E-field annealer, wherein the semiconductor wafers 50 may be stacked vertically.
  • Figure 2 illustrates a perspective view of a load-rail too of an E-field annealer in accordance with an embodiment of the present invention.
  • a load-rail too may be used to introduce wafers into the processing chamber 225 of the E-field annealer.
  • the wafers are first loaded into slots in a substrate holder mounted to a load rail stage ( Figure 2).
  • the electrodes are then positioned to make appropriate electrical contacts to the wafer / each wafer.
  • the load rail stage is then used to position the wafers in the substrate holder, into the heating zone of the oven.
  • Region Bi includes the first E-field annealer electrode 210 comprising two tungsten ribbons touching the conductive top electrode layer 40 of the semiconductor wafer 50.
  • the first E-field annealer electrode 210 is attached to portions of the two wires 115 which are exposed metal (e.g., exposed tungsten).
  • Other portions of the two wires 115 are electrically insulated from other conductive parts of the equipment by insulating material, for example, insulating ceramic beads.
  • FIG. 3 illustrates a ceramic-bead insulated conductive wire 310 in a magnified perspective view of the region Di, indicated by a dashed circle in Figure 2.
  • the first of the two wires 115 passes through the power feedthrough 120 (shown in Figure 2) and may be connected to the DC power supply 130 used to provide an E-field in a dielectric layer, for example, the MOS-dielectric layer 30 of the semiconductor wafer 50, as mentioned above.
  • the other wire of the two wires 115 (similar to the monitoring wire 112 of Figure lA) may be connected at one end to the first E-field annealer electrode 210, and may be connected at the opposite end to a voltmeter 150 in order to monitor the electric potential of the conductive top electrode layer 40 of the semiconductor wafer 50, as shown schematically in Figure 2.
  • the conductive parts of the main structure of the equipment including a substrate holder (e.g., the substrate holder 10 in Figure lA) in contact with the backside of the semiconductor wafer 50, are connected to ground GND by a grounding wire 140.
  • the substrate holder for the semiconductor wafer 50 is described further below with reference to Figure 5A, which illustrates a magnified perspective view of the region Bi (indicated by a dashed circle in Figure 2).
  • FIG 4 A perspective view of the load-rail too from a different angle, indicated by an arrow C in Figure 2, is illustrated in Figure 4.
  • Figure 4 shows the conductor of the two wires 115 exposed by removing the ceramic beads from the two respective insulated conductive wires 310 passing through two respective openings.
  • the two wires 115 connect to two tungsten ribbons of the first E-field annealer electrode 210 that are in contact with the top surface of the semiconductor wafer 50.
  • These two wires 115 in Figure 4 are the same wires that were shown in Figure 2 running from the first E-field annealer electrode 210 to the DC power supply 130 and the voltmeter 150, respectively.
  • the first E-field annealer electrode 210 is located in a region Cl (indicated by a dashed circle). In the perspective view in Figure 2, the first E-field annealer electrode 210 is located in the region Bi.
  • FIG. 5A shows the connection between one of the two wires 115 and the first E-field annealer electrode 210 more clearly.
  • the angle from which the perspective view in Figure 5B is shown provides a clearer illustration of the tungsten ribbons of the first E-field annealer electrode 210 making physical contact with the conductive top electrode layer 40 of the semiconductor wafer 50.
  • the semiconductor wafer 50 in Figures 5A and 5B is shown supported from the bottom by a supporting plate 230.
  • the supporting plate 230 is a part of the slotted substrate holder shown in Figures 2 and 3, and may also be an example embodiment of the substrate holder 10 of Figure lA.
  • the surface of the supporting plate 230 may be metallic, comprising, for example, stainless steel, and may be in physical and electrical contact with a conductive backside of the semiconductor wafer 50.
  • the supporting plate 230 may be in the form of a ring in one embodiment. The ring shape supports the outer diameter of the wafer but exposes a majority of the back side surface to the heating elements.
  • the supporting plate 230 may comprise a conductive material connected to ground GND.
  • Figure 5A shows several optional buffer wafers 240 that help achieve a more uniform temperature profile across the surface of the semiconductor wafer 50 during anneal.
  • the buffer wafers 240 are not shown in Figures 4 and 5B for clarity.
  • insulating ceramic tabs 250 may be placed along carrier rails close to the edges of the semiconductor wafer 50 and the supporting plate 230 to reduce the possibility of accidentally creating undesirable electrical shorts between the semiconductor wafer 50 and the conducting surfaces of the E-field annealer.
  • the DC bias voltage to which the DC power supply 130 may be set during the E-field PDA generally depends not only on the thickness, fox, of the target dielectric layer for which the E-field PDA is being performed (e.g., the MOS-dielectric layer 30 in Figure lA) but also on the properties of other layers such as the materials used in the conductive top electrode layer 40, and the materials, thicknesses, and properties of the layers below the target dielectric layer, as described below.
  • the DC bias voltage of the DC power supply 130 may be controlled to remain constant during E-field annealing.
  • FIGS 6A and 6B illustrate a cross-sectional view of a semiconductor wafer 50 at an E-field anneal step of a planar bulk CMOS flow and a planar SOI CMOS flow, respectively.
  • the E-field anneal step in the example embodiments illustrated in Figures 6A and 6B, is an E-field ferroelectric anneal, FEA, being performed after the conductive top electrode layer 40 has been formed over the MOS-dielectric layer 30.
  • the conductive top electrode layer 40 may be used as the gate electrode of an FE-FET/SSFEFET or of a ferroelectric MOS-capacitor and may comprise one or more conductive materials such as TiN, TaN, W, metallic alloys, and the like.
  • a gate-first process integration method may be used to fabricate the ferroelectric components (e.g., FE-FET/SSFEFET, and ferroelectric MOS- capacitor) that use the MOS-dielectric layer 30.
  • ferroelectric components e.g., FE-FET/SSFEFET, and ferroelectric MOS- capacitor
  • the innovative aspects of these embodiments are applicable to the respective ferroelectric components fabricated using a gate-last (or replacement-gate) process integration method.
  • the MOS-dielectric layer 30 comprises a doped amorphous hafnium oxide film, and an interfacial dielectric film (e.g., silicon oxide) adjacent to the surface of the semiconductor (e.g., silicon).
  • the thickness fox of the MOS-dielectric layer 30 depends on the application and may vary from about 1 nm to about too nm.
  • the annealing temperature may be adjusted such that, during annealing, the amorphous hafnium oxide would crystallize to form a polycrystalline hafnium oxide film.
  • the E-field FEA may be performed at a temperature of about 200 °C to about 1200 °C in an inert gaseous ambient at, e.g., a low pressure.
  • a temperature lower than 200 °C may be inadequate for crystallizing the amorphous layer, and a temperature higher than 1200 °C may alter the properties of other layers formed during earlier processing steps.
  • the orthorhombic crystal phase of hafnium oxide is ferroelectric, but pure amorphous Hf0 2 may be naturally converted to monoclinic phase or cubic phase crystalline grains because the orthorhombic phase is unstable in pure Hf0 2 .
  • the orthorhombic phase of Hf0 2 may be stabilized by certain dopant atoms such as zirconium, silicon, or lanthanum atoms. Accordingly, as the doped amorphous hafnium oxide film in the MOS- dielectric layer 30 crystallizes, the orthorhombic phase of Hf0 2 is formed and may be stabilized by the dopants in a metastable orthorhombic phase that is ferroelectric.
  • the electric field strength during the E-field FEA may be adjusted to be between 1 MV/cm to about too MV/cm.
  • the respective DC bias voltage setting of the DC power supply 130 to provide an E-field in the desired range in the MOS-dielectric layer 30 depends on whether the process flow is for fabrication of bulk CMOS or SOI CMOS, as explained further below.
  • substrate 20 the layers of the semiconductor wafer 50 over which the layers specific to a ferroelectric component are formed are collectively referred to as substrate 20. Accordingly, for a planar FE-FET/SSFEFET or ferroelectric MOS-capacitor, shown in Figures 6A and 6B, substrate 20 comprises all the layers formed prior to forming the MOS-dielectric layer 30. For an MFM ferroelectric capacitor, shown in Figure 6C, substrate 20 comprises all the layers formed prior to forming an MFM conductive bottom electrode layer 45.
  • Substrate 20 for a planar FE-FET/SSFEFET or ferroelectric MOS-capacitor comprises a first semiconductor region 21 of the first conductivity type (e.g., p-type), a second semiconductor region 22 of the second conductivity type (e.g., n-type), and an insulating region, referred to as shallow-trench isolation (STI) region 25 that serves to electrically isolate adjacent electronic components in the first and second semiconductor regions 21 and 22, respectively.
  • STI shallow-trench isolation
  • the conductive top electrode layer 40 over the first semiconductor region 21 and the second semiconductor region 22 may comprise the same materials formed by the same processes, or comprise different materials formed by distinct processes.
  • Various masking steps may be used to mask and expose appropriate regions when using distinct processes.
  • the first semiconductor region 21 of the first conductivity type extends all the way to the backside of the semiconductor wafer 50, and the second semiconductor region 22 of the second conductivity type extends up to a depth to form a p-n junction with the first semiconductor region 21.
  • the p-n junction is generally referred to as the nwell-to-pwell junction.
  • the first semiconductor region 21, the second semiconductor region 22, and the STI region 25 are terminated below by an insulating region referred to as buried-oxide (BOX) layer 15 comprising, for example, silicon oxide, as illustrated in Figure 6B.
  • BOX buried-oxide
  • Semiconductor wafers with a BOX layer 15 may be produced using several methods such as the Separation by Implantation of Oxygen (SIMOX) process, wafer bonding processes, for example, the Smart Cut technique, and the like, as is known to persons skilled in the art.
  • SIMOX Separation by Implantation of Oxygen
  • wafer bonding processes for example, the Smart Cut technique, and the like, as is known to persons skilled in the art.
  • a doped semiconductor region 12 below the BOX layer 15 extends all the way to the backside of the semiconductor wafer 50.
  • the backside of the semiconductor wafer 50 and the second terminal of the DC power supply 130 are connected to ground GND, and the first terminal of the DC power supply is connected to the primary electrode 211 of the first E-field annealer electrode using the primary wire 110, as described above with reference to Figures lA and 2.
  • the monitoring electrode 212 and the monitoring wire 112 are not shown in Figures 6A-6C.
  • the primary electrodes 211, shown in Figures 6A and 6B, are in physical and electrical contact with the conductive top electrode layer 40, similar to the cross-sectional view in Figure lA and the detailed perspective views in Figures 5A and 5B. Accordingly, the total DC bias voltage supplied by the DC power supply 130 gets applied across the conductive top electrode layer 40 and the backside of the semiconductor wafer 50.
  • the electric potential at the semiconductor side of the MOS-dielectric layer 30 is about the same as that of the backside of the semiconductor wafer 50. Accordingly, the voltage drop across the MOS-dielectric layer 30 is determined by the DC bias voltage sourced by the DC power supply 130 and the work function difference between the first semiconductor region 21 and the conductive top electrode layer 40 above this region. However, in the second semiconductor region 22, the voltage drop across the nwell-to-pwell junction has to be included in determining the electric potential at the semiconductor side of MOS-dielectric layer 30, hence in determining the voltage drop across the MOS-dielectric layer 30.
  • the DC bias voltage setting for the DC power supply 130 during the E-field FEA may be about 3 V to about 10 V for a fox value of about 10 nm for the MOS-dielectric layer 30.
  • the relatively advanced CMOS IC’s may use a three-dimensional MOS structure, referred to as a FinFET structure, wherein generally the gate and gate dielectric wraps around three sides of thin and long semiconductor fins protruding from a semiconductor substrate.
  • the electrical connections to FE-FET/SSFEFET and MOS ferroelectric capacitors during the E-field FEA described with reference to planar MOS structures illustrated in Figures 6A and 6B may be adapted by a person skilled in the art to perform E-field FEA of respective FinFET structures.
  • FIG. 6C illustrates an E-field FEA step being performed in a process flow that includes fabrication of MFM ferroelectric capacitors.
  • the MFM ferroelectric capacitor structure in Figure 6C comprises a doped hafnium oxide based ferroelectric-dielectric layer 35 sandwiched between the conductive top electrode layer 40 and a conductive bottom electrode layer 45.
  • the primary electrode 211 shown making contact with the conductive top electrode layer 40, is connected to the first terminal of the DC power supply 130 (not shown) using the primary wire 110.
  • the backside of the semiconductor wafer 50 and the second terminal of the DC power supply 130 are connected to GND, same as for the semiconductor wafers 50 in Figures 6A and 6B.
  • the MFM capacitor layers which include the conductive bottom electrode layer 45, are generally formed during the back-end-of-line (BEOL) of the IC fabrication flow. Since substrate 20 in Figure 6C comprises all the layers formed below the conductive bottom electrode layer 45, it may include relatively thick interlayer dielectric (ILD) and intermetal dielectric (IMD) layers physically located above the conductive semiconductor and gate layers of the MOSFET’s.
  • ILD interlayer dielectric
  • IMD intermetal dielectric
  • the electrical coupling between the backside of the semiconductor wafer 50 and the conductive bottom electrode layer 45 may be too weak to generate an adequately high E-field in the ferroelectric -dielectric layer 35 of the MFM capacitor.
  • the substrate holder in electrical contact with the backside of semiconductor wafer 50 for example, the substrate holder 10 in Figure lA or the supporting plate 230 in Figure 5A may not be an effective second E-field annealer electrode. In such instances, additional processing may be used to create an effective second E-field annealer electrode connection, as described below with reference to Figure 6C.
  • a masking step may be used to pattern the ferroelectric-dielectric layer 35 of the MFM capacitor and the conductive top electrode layer 40 to expose a portion of the conductive bottom electrode layer 45, as illustrated in Figure 6C.
  • the exposed region of the conductive bottom electrode layer 45 may be, for example, in the shape of a ring along the edge of the semiconductor wafer 50.
  • An additional secondary electrode 214 (similar in structure to the electrodes of the first E-field annealer electrode 210 shown in the cross-sectional view in Figure lA and the detailed perspective views in Figures 5A and 5B) may be placed in physical and electrical contact with the exposed portion of the conductive bottom electrode layer 45.
  • the secondary electrode 214 being a direct electrical connection to the conductive bottom electrode layer 45 may be an effective second E-field annealer electrode connection.
  • the additional secondary electrode 214 may be connected to GND using a secondary wire 114 (similar to the primary wire 110). Accordingly, the entire DC bias voltage drops across the ferroelectric-dielectric layer 35 of the MFM capacitor.
  • the DC bias voltage setting for the DC power supply 130 during the E-field FEA may be about 3 V to about 10 V for a fox value of about 10 nm for the ferroelectric-dielectric layer 35 of the MFM capacitor.
  • Example 1 A method of fabricating a semiconductor device, the method including: placing a semiconductor wafer into a processing chamber, the semiconductor wafer including a first conductive layer and a second conductive layer separated by an intermediate layer; applying an electrical bias voltage across the intermediate layer by coupling the first conductive layer to a first potential and coupling the second conductive layer to a second potential; and annealing the semiconductor wafer while applying the electrical bias voltage.
  • Example 2 The method of example 1, further including: decoupling the first conductive layer from the first potential and decoupling the second conductive layer from the second potential; and forming another layer over the first conductive layer.
  • Example 3 The method of one of examples 1 or 2, where coupling the first conductive layer includes attaching a first electrode to a first major surface of the semiconductor wafer; and where coupling the second conductive layer includes placing a second major surface of the semiconductor wafer on a substrate holder and coupling the substrate holder to the second potential.
  • Example 4 The method of one of examples 1 to 3, where coupling the first conductive layer includes attaching a first electrode to a first major surface of the semiconductor wafer; and where coupling the second conductive layer includes attaching a second electrode to a second major surface of the semiconductor wafer.
  • Example 5 The method of one of examples 1 to 4, where coupling the second conductive includes capacitively coupling the second conductive layer to the second potential.
  • Example 6 The method of one of examples 1 to 5, where the second conductive layer is coupled through a buried oxide layer or through a p-n junction.
  • Example 7 The method of one of examples 1 to 6, where annealing the semiconductor wafer includes heating the wafer to a temperature between 200 °C and 600 °C.
  • Example 8 The method of one of examples 1 to 7, where applying the electrical bias voltage includes maintaining a potential difference between the first potential and the second potential to be between lV and 100 V.
  • Example 9 The method of one of examples 1 to 8, further including monitoring a potential of the first conductive layer by coupling the first conductive layer to a voltmeter.
  • Example 10 The method of one of examples 1 to 9, where the second conductive layer includes a doped silicon layer.
  • Example 11 The method of one of examples 1 to 10, further including: before placing the semiconductor wafer into the processing chamber, etching a back side of the semiconductor wafer to expose the doped silicon layer.
  • Example 12 A system for processing semiconductor wafers, the system including: a processing chamber; a substrate holder configured to support a semiconductor wafer; a heating element configured to heat the semiconductor wafer supported by the substrate holder; a first electrode configured to be detachably attached to a first major surface of a semiconductor wafer; and a first wire coupling the first electrode to a first potential node.
  • Example 13 The system of example 12, further including: a second electrode coupled to the substrate holder; and a second wire coupling the second electrode to a second potential node.
  • Example 14 The system of one of examples 12 or 13, further including: a third electrode configured to be detachably attached to the first major surface of the semiconductor wafer; a voltage monitoring meter; and a third wire coupling the third electrode to a voltage monitoring meter.
  • Example 15 The system of one of examples 12 to 14, further including: a second electrode configured to be detachably attached to a second major surface of the semiconductor wafer; and a second wire coupling the second electrode to a second potential node.
  • Example 16 The system of one of examples 12 to 15, further including: a power supply coupled to the first potential node.
  • Example 17 The system of one of examples 12 to 16, further including: a load-rail configured to detachably attach the first electrode to the first major surface of the semiconductor wafer and load the semiconductor wafer into the processing chamber.
  • Example 18 The system of one of examples 12 to 17, where the first electrode includes a tungsten ribbon and the first wire includes a tungsten wire.
  • Example 19 A system for processing semiconductor wafers, the system including: a processing chamber; a substrate holder configured to support a plurality of semiconductor wafers in the processing chamber; a heating element configured to heat the plurality of semiconductor wafers supported by the substrate holder; a first electrode configured to be detachably attached to a first major surface of a semiconductor wafer; and a first wire coupling the first electrode to a first potential node.
  • Example 20 The system of example 19, further including: a first bus including a first plurality of electrodes to contact a first side of each of the plurality of semiconductor wafers; and a second bus including a second plurality of electrodes to contact a second side of each of the plurality of semiconductor wafers.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)

Abstract

La présente invention concerne un procédé de fabrication d'un dispositif à semi-conducteur consistant à placer une tranche de semi-conducteur dans une chambre de traitement, la tranche de semi-conducteur comprenant une première couche conductrice et une seconde couche conductrice séparées par une couche intermédiaire; l'application d'une tension de polarisation électrique à travers la couche intermédiaire par couplage de la première couche conductrice à un premier potentiel et couplage de la seconde couche conductrice à un second potentiel; et le recuit de la tranche de semi-conducteur tout en appliquant la tension de polarisation électrique.
PCT/US2020/026904 2020-04-06 2020-04-06 Système de traitement de semi-conducteurs avec polarisation électrique in situ et procédés associés WO2021206684A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2020/026904 WO2021206684A1 (fr) 2020-04-06 2020-04-06 Système de traitement de semi-conducteurs avec polarisation électrique in situ et procédés associés

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2020/026904 WO2021206684A1 (fr) 2020-04-06 2020-04-06 Système de traitement de semi-conducteurs avec polarisation électrique in situ et procédés associés

Publications (1)

Publication Number Publication Date
WO2021206684A1 true WO2021206684A1 (fr) 2021-10-14

Family

ID=78023372

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2020/026904 WO2021206684A1 (fr) 2020-04-06 2020-04-06 Système de traitement de semi-conducteurs avec polarisation électrique in situ et procédés associés

Country Status (1)

Country Link
WO (1) WO2021206684A1 (fr)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030169553A1 (en) * 2002-03-08 2003-09-11 Applied Materials, Inc. High temperature DC chucking and RF biasing cable with high voltage isolation for biasable electrostatic chuck applications
US20040040662A1 (en) * 2002-08-28 2004-03-04 Manabu Edamura Plasma processing method and apparatus for etching nonvolatile material
US20060073683A1 (en) * 2000-08-11 2006-04-06 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
KR101951726B1 (ko) * 2005-02-03 2019-02-25 어플라이드 머티어리얼스, 인코포레이티드 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치
US20190157043A1 (en) * 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060073683A1 (en) * 2000-08-11 2006-04-06 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US20030169553A1 (en) * 2002-03-08 2003-09-11 Applied Materials, Inc. High temperature DC chucking and RF biasing cable with high voltage isolation for biasable electrostatic chuck applications
US20040040662A1 (en) * 2002-08-28 2004-03-04 Manabu Edamura Plasma processing method and apparatus for etching nonvolatile material
KR101951726B1 (ko) * 2005-02-03 2019-02-25 어플라이드 머티어리얼스, 인코포레이티드 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치
US20190157043A1 (en) * 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing

Similar Documents

Publication Publication Date Title
US11569366B2 (en) Fully depleted SOI transistor with a buried ferroelectric layer in back-gate
US6872989B2 (en) Semiconductor device and method for fabricating the same
US20170207225A1 (en) Method for manufacturing a microelectronic circuit and corresponding microelectronic circuit
CN113675176B (zh) 电子装置、控制其的方法和包括其的晶体管
TWI455283B (zh) 用於製造包含每單位面積有高電容之電容器之半導體組件之方法
US11837652B2 (en) Semiconductor processing system with in-situ electrical bias and methods thereof
US6197668B1 (en) Ferroelectric-enhanced tantalum pentoxide for dielectric material applications in CMOS devices
US20210367046A1 (en) Semiconductor manufacturing platform with in-situ electrical bias and methods thereof
US11594596B2 (en) Back-end-of-line compatible metal-insulator-metal on-chip decoupling capacitor
US11894240B2 (en) Semiconductor processing systems with in-situ electrical bias
WO2021206684A1 (fr) Système de traitement de semi-conducteurs avec polarisation électrique in situ et procédés associés
JP2023024959A (ja) in-situ電気バイアスを用いた半導体製造プラットフォームおよびその方法
WO2000045429A1 (fr) Procede de fabrication d'un dispositif de circuit integre a semi-conducteur
JP4659436B2 (ja) 半導体装置の製造方法
TWI821915B (zh) 具有原位電偏壓的半導體處理系統
US7642609B2 (en) Metal-oxide-semiconductor device with a doped titanate body
US11916099B2 (en) Multilayer dielectric for metal-insulator-metal capacitor
US11818886B2 (en) Low program voltage flash memory cells with embedded heater in the control gate
US11790243B1 (en) Ferroelectric field effect transistor for implementation of decision tree
US20230299197A1 (en) Semiconductor device
JP2001267525A (ja) 強誘電体不揮発性記憶装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20930427

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20930427

Country of ref document: EP

Kind code of ref document: A1