JP2023024959A - in-situ電気バイアスを用いた半導体製造プラットフォームおよびその方法 - Google Patents

in-situ電気バイアスを用いた半導体製造プラットフォームおよびその方法 Download PDF

Info

Publication number
JP2023024959A
JP2023024959A JP2022125996A JP2022125996A JP2023024959A JP 2023024959 A JP2023024959 A JP 2023024959A JP 2022125996 A JP2022125996 A JP 2022125996A JP 2022125996 A JP2022125996 A JP 2022125996A JP 2023024959 A JP2023024959 A JP 2023024959A
Authority
JP
Japan
Prior art keywords
semiconductor wafer
temperature
electrode
electric field
manufacturing platform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022125996A
Other languages
English (en)
Inventor
トリヨソ ディーナ
H Triyoso Dina
クラーク ロバート
Clark Robert
ハーリー デイヴィッド
Hurley David
コルガン イアン
Colgan Ian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Tokyo Electron US Holdings Inc
Original Assignee
Tokyo Electron Ltd
Tokyo Electron US Holdings Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/397,159 external-priority patent/US20210367046A1/en
Application filed by Tokyo Electron Ltd, Tokyo Electron US Holdings Inc filed Critical Tokyo Electron Ltd
Publication of JP2023024959A publication Critical patent/JP2023024959A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】 in-situ電気バイアスを用いた半導体製造プラットフォームおよびその方法を提供する。【解決手段】 半導体デバイスを作製する方法は、製造プラットフォームの第1の堆積チャンバ内に半導体ウェハを配置することであって、半導体ウェハは第1の導電層を含む、ことと、第1の堆積チャンバ内の第1の導電層上に誘電体層を堆積させることと、製造プラットフォームの第2の堆積チャンバ内に半導体ウェハを配置することと、第2の堆積チャンバ内の誘電体層上に第2の導電層を堆積させることと、を含む。方法は、製造プラットフォームの電界アニーラの処理チャンバ内に半導体ウェハを配置することと、処理チャンバ内で、第1の導電層を第1の電位に結合させ、第2の導電層を第2の電位に結合させることにより、誘電体層にわたって電気バイアス電圧を印加することと、電気バイアス電圧を印加しながら、半導体ウェハをアニールすることと、を更に含む。【選択図】図7

Description

関連出願の相互参照
本出願は、2020年4月6日に出願された米国非仮特許出願第16/841,342号明細書、および2021年8月9日に出願された米国非仮特許出願第17/397,159号明細書に対する優先権を主張し、それぞれの全体が参照により本明細書に組み込まれる。
本発明は一般に半導体処理システムおよび方法に関し、特定の実施形態では、ウェハのアニール中にウェハの導電層にわたって直接印加されたインサイチュ電気バイアスを用いた半導体処理用の製造プラットフォームおよび方法に関する。
一般に、半導体集積回路(IC)は、半導体基板上に材料(例えば、誘電体、金属、半導体など)の層を順次堆積し、フォトリソグラフィおよびエッチングを使用して層をパターニングして、回路構成要素(例えば、トランジスタおよびコンデンサ)および相互接続要素(例えば、ライン、コンタクト、およびビア)を形成することにより作製される。その最小フィーチャサイズは、液浸リソグラフィおよびマルチパターニングなどの革新と共に定期的に縮小されてきており、パッキング密度を高めることによりコストが削減されている。構成要素のフットプリントの小型化は、単位面積あたりの構成要素の出力を増加させることにより強化され得る。例えば、単位幅あたりのトランジスタの駆動電流、またはコンデンサの蓄積電荷密度は、それぞれ、より薄いゲート誘電体またはより薄いコンデンサ誘電体を使用することにより向上させることができる。
しかしながら、小型化の利点は、プロセスの複雑さ、回路速度、および待機電力消費において幾分かのコストを伴い、これらに対処する必要があり得る。配線幅をより狭くし、導体と電極との間隔を減らすスケーリングトレンドには、性能のトレードオフがある。これらのトレードオフのいくつかは、新しい材料を使用することにより軽減される場合がある。例えば、より高い配線およびビア抵抗、並びに配線間容量の増加に起因する相互接続システムにおけるIR降下およびRC遅延の増加は、(タングステンおよび銅の代わりに)ルテニウムおよびコバルトなどの金属、並びにフルオロシリケートガラスおよび炭素ドープ酸化物などの低k金属間誘電体(IMD)を使用することにより軽減される場合がある。トランジスタのソースドレイン間隔を減らし、ゲート誘電体またはコンデンサ誘電体をより薄くすることにより、スタンバイリークが増加する場合がある。この問題は、高k誘電体または強誘電性誘電体材料を使用することにより軽減される場合がある。
新しい材料を組み込むことは、ICにおけるその使用によって提供される利点をより良く活用するための更なる革新を必要とする。
本発明の一実施形態によると、半導体デバイスを作製する方法は、製造プラットフォームの第1の堆積チャンバ内に半導体ウェハを配置することであって、半導体ウェハは第1の導電層を含む、ことと、第1の堆積チャンバ内の第1の導電層上に誘電体層を堆積させることと、製造プラットフォームの第2の堆積チャンバ内に半導体ウェハを配置することと、第2の堆積チャンバ内の誘電体層上に第2の導電層を堆積させることと、を含む。方法は、製造プラットフォームの電界アニーラの処理チャンバ内に半導体ウェハを配置することと、処理チャンバ内で、第1の導電層を第1の電位に結合させ、第2の導電層を第2の電位に結合させることにより、誘電体層にわたって電気バイアス電圧を印加することと、電気バイアス電圧を印加しながら、半導体ウェハをアニールすることと、を更に含む。
本発明の一実施形態によると、半導体デバイスを作製するための製造プラットフォームは、半導体ウェハ上に導電層を堆積させるように構成された第1の堆積チャンバと、半導体ウェハ上に誘電体層を堆積させるように構成された第2の堆積チャンバとを含む。製造プラットフォームは、電界アニーラの処理チャンバを更に含み、処理チャンバは、半導体ウェハを支持するように構成された基板ホルダと、基板ホルダにより支持された半導体ウェハを加熱するように構成された加熱要素と、半導体ウェハの第1の主表面に取り外し可能に取り付けられるように構成された第1の電極と、第1の電極を第1の電位ノードに結合させる第1の配線と、を含む。
本発明およびその利点のより完全な理解のために、ここで、以下の記載を添付図面と併せて参照する。
本発明の一実施形態による、電界アニーラの処理チャンバの断面図を示す。 本発明の代替の実施形態による、電界アニーラの処理チャンバの断面図を示す。 本発明の一実施形態による、電界アニーラのロードレールの斜視図を示す。 図2に示される斜視図の細部の拡大斜視図である。 本発明の一実施形態による、電界アニーラのロードレールの斜視図を示す。 図2に示される斜視図の細部の拡大斜視図である。 図2に示される斜視図の細部の異なる向きからの拡大斜視図である。 本発明の一実施形態による、電界アニーラの処理チャンバ内に配置された様々な半導体ウェハの断面図を示す。 本発明の一実施形態による、電界アニーラの処理チャンバ内に配置された様々な半導体ウェハの断面図を示す。 本発明の一実施形態による、電界アニーラの処理チャンバ内に配置された様々な半導体ウェハの断面図を示す。 本発明の一実施形態による、半導体デバイスを作製するための製造プラットフォームを示す。 本発明の実施形態による、半導体ウェハのアニーリング中に誘電体層にわたって電気バイアス電圧を印加していることを示す。 本発明の実施形態による、半導体ウェハのアニーリング中に誘電体層にわたって電気バイアス電圧を印加していることを示す。 本発明の実施形態による、半導体ウェハのアニーリング中に誘電体層にわたって電気バイアス電圧を印加していることを示す。
本開示は、処理中に電気バイアス電圧がウェハの2つの導電層の間に印加されている間に半導体ウェハを処理するための装置および方法について記載する。バイアスは、ウェハに直接、電気的に接触し、且つ処理チャンバの外側に位置する電源に接続された、電極を介して印加される。本明細書では、電気的バイアスと同時に実施されるアニールプロセスを電界アニールと呼び、電界アニールを実施するために使用される処理装置を電界アニーラと呼ぶ。例示的な実施形態では、堆積後アニール(PDA)プロセスステップ中に、電気的バイアスを使用して、ウェハ内の誘電体層を所望の大きさのDC電界(電界)にさらす。
金属酸化膜半導体電界効果トランジスタ(MOSFET)および/またはコンデンサなどの強誘電性誘電体ベースの電子構成要素の作製を含むいくつかの作製プロセスフローでは、以下に説明するように、電界PDAを使用することが有利な場合がある。強誘電体層を形成するために使用されるプロセスステップには、強誘電性酸化物、例えば、ドープされた酸化ハフニウム、またはドープされたハフニウムジルコネート、またはバリウムストロンチウムチタネートなどのペロブスカイト酸化物、またはビスミュート(bismute)を堆積させることを含み得る。La、Al、Si、Sr、Gd、およびYなどの多数のドーパントが、結晶構造を歪めることによって強誘電挙動を改善することが示されている。しかしながら、HfO、またはHfZrOの場合、複数の相が可能である。これらの材料では、堆積後アニール(PDA)条件は、強誘電挙動を伴う所望の非中心対称斜方晶相を導入する際に重要な役割を果たす。強誘電アニール(FEA)と呼ばれるPDAステップは、堆積した酸化ハフニウム層を安定または準安定の多結晶強誘電性酸化ハフニウム層に変換することができる。酸化ハフニウムベースの強誘電性誘電体を使用する電子構成要素を含むICの製造フローには、通常、安定した強誘電特性を得るために、本明細書ではウェイクアップサイクリングと呼ばれる、電気サイクリングステップが含まれる。本開示の実施形態では、強誘電体MOSFET(FE-FET)および強誘電体コンデンサは、例えば酸化ハフニウムを含む、例えば強誘電性誘電体を使用して、構築することができ、ここで、結晶化FEAの間、誘電体は、以下で更に詳細に説明される装置および方法を使用して、上記の印加されたDC電界にさらされる。例示的な実施形態で使用される電界FEA技術は、ウェイクアップサイクリングを短縮化する利点、およびいくつかの実施形態では、排除する利点を提供することができる。ウェイクアップ効果については、以下で更に詳細に説明する。本開示の様々な実施形態を使用して説明された電界FEA技術は、酸化ハフニウムベースの材料以外の材料を使用して強誘電体層を形成する際に、同様の利益を提供することができることが理解されよう。
誘電体材料は、電界(E)によって分極され得る。電界に応答する電気分極ベクトル(P)は、中心対称誘電体に対して、一般に概ね線形で対称な、電界Eの関数である。中心対称誘電体は、非強誘電性である(すなわち、E=0においてP=0)。しかしながら、一部の非中心対称誘電体は強誘電性であり、すなわち、自発のまたは残存する分極を示し、E=0においてP=P≠0であり、これは残留分極(P)と呼ばれる。強誘電性誘電体においてPをゼロに強制するために、逆極性の強制電界(E)を印加する必要がある。強誘電体のP対E曲線は、概ね対称のヒステリシスループを有する非線形である。当業者には知られているように、酸化ハフニウムベースの強誘電体薄膜などの一部の強誘電体膜は、ウェイクアップ効果を示し、従来の処理(電界アニールなし)を使用して作製された元来の膜は、ピンチされたヒステリシス曲線(小さなP)を有するが、この曲線は、比較的高い順方向(正)および逆方向(負)の電界を複数回、例えば約10サイクル~約10サイクルを反復した後には広がり、安定した、幅がより広いヒステリシスループ(より大きなP)になる。通常、不安定なPを有する元来の誘電体層を含む全ての強誘電体構成要素は、それぞれの回路が設計されたように機能するために、ウェイクアップサイクリングにより安定化される必要がある。したがって、本開示で説明される革新的な電界アニール技術は、ウェイクアップサイクルの数を低減し、いくつかの実施形態では、ウェイクアップサイクリングステップを排除することにより、極めて大きな利点を提供することが認識され得る。
P対E特性にヒステリシスが存在することにより、強誘電体コンデンサを不揮発性メモリ(NVM)要素として使用することが可能になる。例えば、高い正バイアス電圧または負バイアス電圧を用いて、強誘電体コンデンサを、P対Eヒステリシスループの上側分岐または下側分岐のいずれかに強制して、高い正分極または負分極の対応する状態にすることにより、「1」または「0」のいずれかのバイナリロジック状態を格納することができる。バイアスが除去された後(E=0)、強誘電体コンデンサが、P対Eヒステリシスループの上側分岐に強制されたかまたは下側分岐に強制されたかに応じて、分極の一部が、残留分極+PまたはPとして保持される。ヒステリシス曲線の各分岐の(P対Eの最大勾配に対応する)最大変位電流は反対の極性で発生するので、例えば、所与の極性の電圧ランプに応答するコンデンサ電流を検出することにより、格納された情報を読み出すことができる。上で説明したデータ格納および読出し機構から理解されるように、安定した高いPの重要性ゆえに、ウェイクアップサイクリングステップは通常、上述した電界FEAなしで形成された酸化ハフニウムベースの強誘電性NVMを含むICの製造において実施される。しかしながら、本開示で説明される電界アニーラおよび電界FEAを使用することで、ウェイクアップサイクルの回数が減ることにより、そして、いくつかの実施形態では、ウェイクアップサイクリングステップが製造フローから排除されることにより、酸化ハフニウムベースの強誘電性NVMのコストが削減されるという利点をもたらすことができる。
強誘電体は、FE-FET用のゲート誘電体スタックを形成する際に使用されてもよい。ゲート誘電体スタックの残留分極が十分に大きい場合、トランジスタは、強誘電体コンデンサと同様に、いったんプログラムされると、その状態を保持し、プログラム電圧が除去された後でさえも、オンまたはオフの状態を維持することができる。そのようなFE-FETはまた、デジタル情報をNVMセルに格納するために使用されてもよい。酸化ハフニウムベースの強誘電体コンデンサNVMとの関連で上述したように、酸化ハフニウムベースの強誘電体FE-FET NVMの製造コストは、革新的な電界アニーラおよび電界FEAを使用することにより削減され得る。
FE-FETは、デジタルロジックまたはアナログ回路において使用される場合、従来の(すなわち、非強誘電性)MOSFETに比べて、いくつかの利点をもたらすことができる。デジタルロジックおよび/またはアナログ回路で使用されるFE-FETのゲート誘電体スタックは、強誘電体薄膜および非強誘電体薄膜を含む。回路で使用される場合、例えばデジタルスイッチとして使用される場合、ゲート誘電体スタックの強誘電体部分は、動的容量を提供し、これは、特定のバイアス掃引条件(例えば、掃引速度または周波数)下で、強誘電体の分極の変化に起因して電圧スナップバックをもたらす可能性がある。このスナップバックにより、FE-FETの、望ましい、勾配がより急な閾値、およびより高いION/IOFF比、がもたらされる場合がある。これに関連して、FE-FETは一般に、負性容量電界効果トランジスタ(NCFET)と呼ばれている。ここでは、より正確に、急勾配強電界効果トランジスタ(SSFEFET)と呼ばれる。しかしながら、ヒステリシスのないトランジスタのI-VおよびC-V曲線を実現するために、ゲート誘電体スタックにおける強誘電特性(例えば、P)および膜厚を適切に調整する必要があり得る。当業者には知られているように、ヒステリシスのないI-VおよびC-V曲線は、安定したトランジスタ動作を示唆するが、ヒステリシスの存在は、回路の不安定性および意図しない電気的発振をもたらす場合がある。回路の安定性を考慮すると、SSFEFETが回路を不安定にすることなく期待される回路の利点を提供するために、Pが安定し、設計ウィンドウ内に留まる必要があることが理解されよう。したがって、電界FEAを含まないSSFEFETの作製フローは、ウェイクアップサイクリングステップを組み込む場合がある一方で、本開示で説明される本発明の電界アニール技術を使用すると、ウェイクアップサイクリングを減らして、そして、いくつかの実施形態ではウェイクアップサイクリングなしで、安定した強誘電特性を実現することにより、コストが削減されるという利点をもたらすことができる。
本開示では、最初に、電界アニール技術が、図1Aに示されるような電界アニール(例えば、電界FEA)プロセスステップ中の電界アニーラの処理チャンバの断面図の概略図を、図1Bの代替的実施形態と共に使用して説明される。電界アニーラについては、図2~図5に示される電界アニーラのロードレールの様々な斜視図を参照して更に説明される。FE-FET/SSFEFETおよび/またはMOS強誘電体コンデンサのゲート誘電体層の、電界FEA中の電気接続については、図6Aおよび6Bにそれぞれ示される、平面バルク相補型MOS(CMOS)およびシリコンオンインシュレータ(SOI)CMOS半導体ウェハの断面図を参照して説明する。MOSコンデンサに加えて、一般にMIMコンデンサと呼ばれるIC内のコンデンサ構成要素は、コンデンサの上部電極と下部電極の両方に金属層を使用して形成され得る。本開示では、非強誘電性絶縁体と強誘電性絶縁体とを、略語で区別する。非強誘電性絶縁体はIと略され、強誘電性絶縁体はFと略される。電界FEA中にMFMコンデンサの電極に行われる電気接続は、図6Cに示される断面図を参照して説明される。
強誘電性電子デバイス(例えば、トランジスタおよびコンデンサ)において使用するための材料層の様々な組み合わせのスタックが形成され得る。スタックは、非強誘電性誘電体層、金属層、および半導体と共に、強誘電体層を含み得る。その例には、以下のスタック、すなわち(層を上から下に列挙すると)、金属-強誘電体-金属(MFM)、金属-強誘電体-絶縁体-金属(MFIM)、金属-強誘電体-絶縁体-半導体(MFIS)、金属-強誘電体-金属-半導体(MFMS)、金属-強誘電体-金属-絶縁体-半導体(MFMIS)、半導体-強誘電体-半導体(SFS)、および半導体-強誘電体-絶縁体-半導体(SFIS)が含まれるが、これらに限定されない。本開示では、例示的なスタックは、(例えば、FEFET/SSFEFETトランジスタ内の)MFIS、または(例えば、上部および下部金属電極を有するコンデンサ内の)MFMであり得る。
図1Aは、電界アニールを実施するために装備されたアニーラである電界アニーラの処理チャンバ225内部の基板ホルダ10上に配置された半導体ウェハ50の断面図を概略的に示す。処理チャンバ225は、処理チャンバ225内に配置されたウェハを熱処理するように設計された熱処理システム235を備える。様々な実施形態では、熱処理システム235は、処理チャンバ225の内部または外部の様々な場所に配置されたランプ、抵抗要素、および他のものを使用することにより、加熱および冷却要素を制御して、処理チャンバ225内の半導体ウェハ50の所望の温度を維持する温度コントローラを備える。
半導体ウェハ50は、半導体基板20、半導体基板20上に形成されたMOS誘電体層30、およびMOS誘電体層30上に形成された導電性上部電極層40を備える。
図1Aに概略的に示されるように、第1の電界アニーラ電極は、導電性上部電極層40と物理的且つ電気的に接触している。第1の電界アニーラ電極は、高温処理の影響を受けない導電性材料を含み得る。一実施形態では、第1の電界アニーラ電極は、タングステンを含み得る。第1の電界アニーラ電極は、アニール中に損傷を受けることなく高温に加熱され得る適切な導体(例えば、タングステン)の一次配線110を使用してDC電源130の第1の端子に接続されている一次電極211(例えば、タングステンリボン)を備える。一次電極211のリボン形状は、アニールプロセス中にウェハが加熱される際に、スリップを防止し且つ半導体ウェハ50の表面との良好な物理的接続を維持するのに役立つ、ばねのような作用を提供する。導電性上部電極層40の電位は、任意選択で、(一次配線110に類似した)監視配線112により、別の監視電極212、例えば導電性上部電極層40と接触するように配置された別のタングステンリボン、に接続された電圧計150を使用して監視され得る。2つの電極は、導電性上部電極層40により電気的に短絡されて一緒になっている。一次電極211および監視電極212は、集合的に、第1の電界アニーラ電極210と呼ばれ得る。一次配線110および監視配線112は、集合的に、2つの配線115と呼ばれ得る。
図1Aに示される例示的な実施形態では、半導体ウェハ50の裏面に物理的に接触している、基板ホルダ10の表面は、第2の電界アニーラ電極として使用される。基板ホルダ10の表面は、適切な導電性材料、例えば、シリコンベース、カーボンベース、シリコンおよびカーボン複合材ベース、または金属窒化物ベースのコーティングでコーティングされて、アニール温度における電極としての使用に適した導電性表面を得ることができる。裏面、および裏面に隣接する半導体ウェハ50の一部は、n型またはp型をドープしたシリコンまたはゲルマニウムなどの導電性材料であってもよく、基板ホルダ10の表面に電気的に接触していてもよい。いくつかの実施形態では、半導体ウェハ50の裏面と基板ホルダ10の表面との間に電気的接触を確立するために、裏面エッチングを使用して裏面における導電性表面を露出させていてもよい。
図1Aに概略的に示されるように、基板ホルダ10の表面、したがって半導体ウェハ50の裏面は、基準電位に接続されてもよく、基準電位は接地と呼ばれ、図1AではGNDと示されている。接地接続は、一次配線110と同様の二次配線113を使用して確立され得る。この実施形態では、二次配線113は、装置の主構造の導電性部分をシステム接地に接続する接地配線に電気的に接続されている。DC電源130の第2の端子もまた、接地(GND)に接続されて、半導体ウェハ50全体にわたってバイアス電圧を印加する。当業者によって理解され、以下で更に説明されるように、DC電源の2つの端子間の電圧降下を調整して、所望の極性と所望の範囲内の電界強度とを有する電界を、MOS誘電体層30において実現することができる。様々な実施形態では、DC電源130は、1V~100V、および一実施形態では3V~10Vなどの、適切な電圧を供給するように構成され得る。
アニール中に印加されるバイアスは、固定電圧または時間変化する電圧であってもよく、その大きさおよび波形は、材料、層の厚さ、アニール条件、および特定のデバイス用途に応じて大きく変動し得ることに留意されたい。上述したDCバイアス電圧は、例示だけを目的としたものであり、限定的であると解釈されるべきではない。時間変化する電圧波形には、パルスDC、交流パルス、正弦波、のこぎり波などを含み得る。印加されるバイアスは、共通接地電位、何らかの他の固定基準電位、制御された可変基準電位、時間変化する電位、または浮動ノード電位を基準としてもよいことに更に留意されたい。
図1Aの実施形態は、処理チャンバ225内部の単一の半導体ウェハ50を示しているが、ダミーウェハを含む複数のウェハが、適切に設計された処理チャンバ内部に配置されてもよいことが理解されよう。図1Aの電界アニーラ電極および電気接続は、単一のウェハ処理用に構成されているように示されている。しかしながら、半導体ウェハのバッチをアニールするために、電界アニーラの構成が変更されてもよい。バッチ処理に適した例示的な実施形態が、図1Bに示される。
図1Bでは、高温処理の影響を受けない絶縁体(例えば、セラミック絶縁体)を含むスロット付き基板ホルダ14上に複数の半導体ウェハ50が水平に積み重ねられている。絶縁材料は、基板ホルダ14が、半導体ウェハ50の導電性上面と裏面との間に電気的短絡を生じさせることを防止する。積み重ねられたウェハは、電界アニーラの処理チャンバ226内部にロードされていることが示されている。処理チャンバ226内部に位置しているのは、2つの導電性バス、すなわち、スロット付き基板ホルダ14の上下にそれぞれ固定された第1の導電性バス108および第2の導電性バス109である。処理チャンバ226内部の温度は、熱処理システム236により制御され得る。
各ウェハの導電性上面は、図1Aの一次電極211と同様の一次電極215によって、第1の導電性バス108に電気的に接続されていることが示されている。図1Bに示されるように、第1の導電性バス108と一次電極215との間の接続は、スロット付き基板ホルダ14の開口部を通過する接続配線を使用して確立され得る。この実施形態では、第1の電界アニーラ電極は、一次電極215および第1の導電性バス108を備える。第1の電界アニーラ電極は、図1Aと同様に、一次配線110を使用してDC電源130に接続されている。各ウェハの導電性裏面は、二次電極216および接続配線(上面と同様)を使用して、第2の導電性バス109に接続され得る。この実施形態では、二次電極216および第2の導電性バス109を備える第2の電界アニーラ電極は、二次配線114を使用してGNDに接続されている。ウェハの上面の電位は、図1Bに示されるように、監視配線112を使用して第1の導電性バス108を電圧計150に接続することにより監視されてもよい。
図1Bを参照して上述した電界アニーラは、水平スタックに配置されたウェハをバッチ処理するのに適している。水平処理チャンバ226の設計を変更して、半導体ウェハ50を垂直に積み重ねることができる同様の電界アニーラを提供することができる。
図2は、本発明の一実施形態による、電界アニーラのロードレール100の斜視図を示す。ロードレール100を使用して、電界アニーラの処理チャンバ225内にウェハを導入することができる。ウェハは、最初に、ロードレールステージに取り付けられた基板ホルダのスロット内にロードされる(図2)。次いで、電極は、ウェハ/各ウェハに対して適切な電気的接触を行うように位置決めされる。次いで、ロードレールステージを使用して、基板ホルダ内のウェハをオーブンの加熱ゾーン内に位置決めする。
図2では、2つの配線115(図1Aの一次配線110および監視配線112と同様)が、(図2の破線の円で示されている)領域B1までつながっているように示されている。領域B1は、半導体ウェハ50の導電性上部電極層40に接触する2つのタングステンリボンを備える第1の電界アニーラ電極210を含む。上述したように、リボン形状は、アニールプロセス中に半導体ウェハ50との良好な物理的接続を維持するのに役立つ。第1の電界アニーラ電極210は、露出した金属(例えば、露出したタングステン)である2つの配線115の一部分に取り付けられている。2つの配線115の他の一部分は、絶縁材料、例えば絶縁セラミックビーズにより、装置の他の導電性部分から電気的に絶縁されている。2つの配線115の絶縁された部分は、絶縁導電性配線310と呼ばれる。図3は、セラミックビーズで絶縁された導電性配線310を、図2の破線の円で示される領域D1の拡大斜視図で示す。
上述したように、2つの配線115のうちの第1の配線は、(図2に示される)電力フィードスルー120を通過し、誘電体層、例えば半導体ウェハ50のMOS誘電体層30、に電界を印加するために使用されるDC電源130に接続され得る。図2に概略的に示されるように、2つの配線115のうちの他方の配線(図1Aの監視配線112と同様)は、半導体ウェハ50の導電性上部電極層40の電位を監視するために、一方の端部において第1の電界アニーラ電極210に接続されてもよく、反対側の端部において電圧計150に接続されてもよい。半導体ウェハ50の裏面に接触している基板ホルダ(例えば、図1Aの基板ホルダ10)を含む、装置の主構造の導電性部分は、接地配線140により接地GNDに接続されている。半導体ウェハ50の基板ホルダは、(図2の破線の円で示されている)領域B1の拡大斜視図を示す図5Aを参照して、以下で更に説明される。
図2で矢印Cにより示される異なる角度からのロードレール100の斜視図が、図4に示されている。図4は、2つのそれぞれの開口部を通過する2つのそれぞれの絶縁導電性配線310からセラミックビーズを除去することによって露出された、2つの配線115の導体を示す。2つの配線115は、半導体ウェハ50の上部表面に接触している第1の電界アニーラ電極210の2つのタングステンリボンに接続する。図4のこれら2つの配線115は、第1の電界アニーラ電極210からDC電源130および電圧計150にそれぞれ延びる、図2に示されたものと同じ配線である。図4の斜視図では、第1の電界アニーラ電極210は、(破線の円で示される)領域C1に位置している。図2の斜視図では、第1の電界アニーラ電極210は、領域B1に位置している。
図2の領域B1および図4のC1はそれぞれ、図5Aおよび図5Bに示される拡大斜視図においてより詳細に示されている。図5Aの斜視図は、2つの配線115のうちの1つと第1の電界アニーラ電極210との間の接続をより明確に示している。図5Bの斜視図を見ている角度は、半導体ウェハ50の導電性上部電極層40に物理的に接触している第1の電界アニーラ電極210のタングステンリボンをより明確に示している。図5Aおよび図5Bの半導体ウェハ50は、支持プレート230によって下から支持されていることが示される。支持プレート230は、図2および図3に示されるスロット付き基板ホルダの一部であり、また、図1Aの基板ホルダ10の例示的な実施形態でもあり得る。支持プレート230の表面は、例えばステンレス鋼を含む、金属製であってもよく、半導体ウェハ50の導電性裏面に物理的且つ電気的に接触していてもよい。一実施形態では、支持プレート230は、リングの形態であり得る。リング形状は、ウェハの外径を支持するが、裏面の大部分を加熱要素に露出させる。支持プレート230は、接地GNDに接続された導電性材料を含み得る。
図5Aは、アニール中に半導体ウェハ50の表面全体にわたり、より均一な温度プロファイルを実現するのに役立つ、いくつかの任意選択のバッファウェハ240を示す。バッファウェハ240は、明確にするために、図4および図5Bには示されていない。図5Bに示されるように、絶縁セラミックタブ250は、半導体ウェハ50および支持プレート230のエッジに近いキャリアレールに沿って配置されて、半導体ウェハ50と電界アニーラの導電性表面との間に、望ましくない電気的短絡が偶発的に生じる可能性を低減させてもよい。
電界PDA中にDC電源130が設定され得るDCバイアス電圧は、一般に、以下に説明されるように、電界PDAが実施されているターゲット誘電体層(例えば、図1AのMOS誘電体層30)の厚さtOXだけでなく、導電性上部電極層40で使用される材料などの他の層の特性、並びにターゲット誘電体層の下の層の材料、厚さ、および特性、にも依存する。いくつかの実施形態では、DC電源130のDCバイアス電圧は、電界アニール中に一定に保たれるように制御され得る。
図6Aおよび図6Bはそれぞれ、平面バルクCMOSフローおよび平面SOI CMOSフローの電界アニールステップにおける半導体ウェハ50の断面図を示す。図6Aおよび図6Bに示される例示的な実施形態における電界アニールステップは、導電性上部電極層40がMOS誘電体層30の上に形成された後に実施される電界強誘電アニール、すなわちFEAである。導電性上部電極層40は、FE-FET/SSFEFETまたは強誘電性MOSコンデンサのゲート電極として使用されてもよく、TiN、TaN、W、金属合金などの1つ以上の導電性材料を含んでもよい。
図6Aおよび図6Bでは、ゲートファーストプロセスインテグレーション方法を使用して、MOS誘電体層30を使用する強誘電体構成要素(例えば、FE-FET/SSFEFET、および強誘電体MOSコンデンサ)を作製してもよい。しかしながら、当業者であれば、これらの実施形態の革新的な態様は、ゲートラスト(または置換ゲート)プロセスインテグレーション方法を使用して作製されたそれぞれの強誘電体構成要素に適用可能であることが理解されよう。
図6Aおよび図6Bに示される例示的な実施形態では、MOS誘電体層30は、ドープされたアモルファス酸化ハフニウム膜、および半導体(例えば、シリコン)の表面に隣接する界面誘電体層(例えば、酸化シリコン)を含む。MOS誘電体層30の厚さtOXは、用途に依存し、約1nmから約100nmまで変動し得る。アニール温度は、アニール中に、アモルファス酸化ハフニウムが結晶化して多結晶酸化ハフニウム膜を形成するように調整され得る。例えば、電界FEAは、例えば低圧での不活性ガス環境において、約200℃~約1200℃の温度で実施され得る。200℃未満の温度は、アモルファス層の結晶化には不十分な場合があり、1200℃超の温度は、より初期の処理ステップ中に形成される他の層の特性を変化させる場合がある。酸化ハフニウムの斜方晶相は強誘電性であるが、純粋なHfOでは斜方晶相は不安定であるため、純粋なアモルファスHfOは、自然に単晶相または立方晶相の結晶粒に変換され得る。しかしながら、当業者には知られているように、HfOの斜方晶相は、ジルコニウム、シリコン、またはランタン原子などの特定のドーパント原子によって安定化され得る。したがって、MOS誘電体層30中のドープされたアモルファス酸化ハフニウム膜が結晶化するにつれて、HfOの斜方晶相が形成され、強誘電性である準安定斜方晶相中のドーパントによって安定化され得る。電界FEA中の電界強度は、1MV/cm~約100MV/cmに調整され得る。電界が過度に低いと、ウェイクアップサイクリングを低減/排除するのに十分な利点をもたらさない場合がある一方で、電界が過度に高いと、MOS誘電体層30が損傷するおよび/またはその寿命を低下させる場合がある。以下で更に説明するように、MOS誘電体層30に所望の範囲の電界を印加するためのDC電源130のそれぞれのDCバイアス電圧設定は、プロセスフローが、バルクCMOSまたはSOI CMOSのどちらの作製のためであるかに依存する。
図6A~図6Cでは、その上に強誘電体構成要素に対して固有の層が形成される半導体ウェハ50の層は、集合的に、基板20と呼ばれる。したがって、図6Aおよび図6Bに示される平面FE-FET/SSFEFETまたは強誘電性MOSコンデンサの場合、基板20は、MOS誘電体層30を形成する前に形成された全ての層を含む。図6Cに示されるMFM強誘電体コンデンサの場合、基板20は、MFM導電性下部電極層45を形成する前に形成された全ての層を含む。
平面FE-FET/SSFEFETまたは強誘電体MOSコンデンサ用の基板20は、第1の導電性型(例えば、p型)の第1の半導体領域21、第2の導電性型(例えば、n型)の第2の半導体領域22、並びに、第1の半導体領域21および第2の半導体領域22のそれぞれにおける隣接する電子構成要素を電気的に絶縁するのに役立つシャロートレンチ分離(STI)領域25と呼ばれる絶縁領域、を備える。当業者には知られているように、第1の半導体領域21および第2の半導体領域22上の導電性上部電極層40は、同じプロセスによって形成された同じ材料を含んでもよく、または別個のプロセスによって形成された異なる材料を含んでもよい。別個のプロセスを使用する場合、適切な領域をマスクするためおよび露出させるために、様々なマスキングステップが使用され得る。
図6Aに示されるように、バルクCMOSでは、第1の導電性型の第1の半導体領域21は、半導体ウェハ50の裏面まで完全に延び、第2の導電性型の第2の半導体領域22は、第1の半導体領域21と共にp-n接合を形成する深さまで延びる。p-n接合は、一般に、nウェルpウェル間接合と呼ばれる。SOI CMOSでは、第1の半導体領域21、第2の半導体領域22、およびSTI領域25は、図6Bに示されるように、例えば酸化シリコンを含む、埋め込み酸化物(BOX)層15と呼ばれる絶縁領域により下部が終端される。BOX層15を有する半導体ウェハは、当業者には知られているように、酸素注入による分離(SIMOX)プロセス、ウェハボンディングプロセス、例えばスマートカット技術などの、いくつかの方法を使用して生産され得る。BOX層15の下のドープされた半導体領域12は、半導体ウェハ50の裏面まで完全に延びている。
図1Aおよび図2を参照して上述されたように、半導体ウェハ50の裏面およびDC電源130の第2の端子は、接地GNDに接続され、DC電源の第1の端子は、一次配線110を使用して、第1の電界アニーラ電極の一次電極211に接続されている。(簡略化のために、監視電極212および監視配線112は、図6A~図6Cには示されていない。)図6Aおよび図6Bに示される一次電極211は、図1Aの断面図並びに図5Aおよび図5Bの詳細な斜視図と同様に、導電性上部電極層40に物理的且つ電気的に接触している。したがって、DC電源130によって供給される全DCバイアス電圧は、導電性上部電極層40と半導体ウェハ50の裏面との間に印加される。
再び図6Aを参照すると、バルクCMOSの場合、第1の半導体領域21では、MOS誘電体層30の半導体側における電位は、半導体ウェハ50の裏面の電位とほぼ同じである。したがって、MOS誘電体層30にわたる電圧降下は、DC電源130により供給されるDCバイアス電圧、および第1の半導体領域21とこの領域上の導電性上部電極層40との間の仕事関数差により決定される。しかしながら、第2の半導体領域22では、nウェルpウェル間接合にわたる電圧降下を、MOS誘電体層30の半導体側における電位を決定する際に、したがって、MOS誘電体層30にわたる電圧降下を決定する際に、含める必要がある。したがって、DC電源130によって供給されるDCバイアス電圧の極性を、p-n接合が順方向にバイアスされるように選択することにより、nウェルpウェル間接合にわたる電圧降下を最小限に抑えることが有利な場合がある。一実施形態では、電界FEA中のDC電源130のDCバイアス電圧設定は、MOS誘電体層30の約10nmのtOX値に対して約3V~約10Vであり得る。
図6Bを参照すると、SOI CMOSでは、DC電源130によって供給されるDCバイアス電圧のかなりの部分が、MOS誘電体層30およびBOX層15の厚さの比率および誘電率の比率に応じて、BOX層15にわたって降下し得る。したがって、SOI CMOSプロセスフローにおける電界FEA用に使用されるDCバイアス電圧は、バルクCMOSプロセスフローの対応する値と比較して増加させる必要があり得る。
比較的進展したCMOS ICは、FinFET構造と呼ばれる3次元MOS構造を使用する場合があり、FinFET構造では、一般に、ゲートおよびゲート誘電体が、半導体基板から突出した薄くて長い半導体フィンの3つの側面を包み込んでいる。当業者であれば、図6Aおよび図6Bに示される平面MOS構造を参照して説明される電界FEA中のFE-FET/SSFEFETおよびMOS強誘電体コンデンサへの電気接続を適合させて、対応するFinFET構造の電界FEAを実施することができる。
図6Cは、MFM強誘電体コンデンサの作製を含むプロセスフローで実施される電界FEAステップを示す。図6CにおけるMFM強誘電体コンデンサ構造は、導電性上部電極層40と導電性下部電極層45との間に挟まれた、ドープされた酸化ハフニウムベースの強誘電体-誘電体層35を含む。導電性上部電極層40に接触しているように示される一次電極211は、一次配線110を使用して、DC電源130(図示せず)の第1の端子に接続されている。図6Aおよび図6Bの半導体ウェハ50の場合と同様に、半導体ウェハ50の裏面とDC電源130の第2の端子とは、GNDに接続されている。しかしながら、以下に説明するように、導電性下部電極層45が、基板20内の誘電体層の過度に大きな累積厚さにより、図6Cの半導体ウェハ50の裏面GND接続から効果的に電気的に絶縁されている場合、これらの接続だけでは、MFMコンデンサの強誘電体-誘電体層35に十分に高い電界を生成するのに十分ではない場合がある。
導電性下部電極層45を含むMFMコンデンサ層は、一般に、IC作製フローのバックエンドオブライン(BEOL)中に形成される。図6Cの基板20は、導電性下部電極層45の下に形成された全ての層を備えるので、基板は、導電性半導体およびMOSFETのゲート層の上に物理的に位置する比較的厚い層間誘電体(ILD)層および金属間誘電体(IMD)層を含み得る。したがって、図6Cに示される作製の中間段階において、導電性下部電極層45が、ビアおよびコンタクトにより導電性半導体およびMOSFETのゲート層に接続されていない限り、半導体ウェハ50の裏面と導電性下部電極層45との間の電気的結合が、MFMコンデンサの強誘電体-誘電体層35に十分に高い電界を生成するには弱すぎる場合がある。そのような実施形態では、半導体ウェハ50の裏面に電気的に接触している基板ホルダ、例えば図1Aの基板ホルダ10または図5Aの支持プレート230は、効果的な第2の電界アニーラ電極ではない場合がある。そのような場合、効果的な第2の電界アニーラ電極接続を形成するために、図6Cを参照して以下に説明するように、追加の処理を使用してもよい。
電界FEAが望まれるプロセスフローの中間段階において、導電性下部電極層45が半導体ウェハ50の裏面から電気的に分離されるIC設計では、マスキングステップを使用して、MFMコンデンサの強誘電体-誘電体層35および導電性上部電極層40をパターニングして、図6Cに示されるように、導電性下部電極層45の一部を露出させてもよい。導電性下部電極層45の露出領域は、例えば、半導体ウェハ50のエッジに沿ったリングの形状であってもよい。(図1Aの断面図並びに図5Aおよび図5Bの詳細な斜視図に示される第1の電界アニーラ電極210の電極と構造が類似している)追加の二次電極214を、導電性下部電極層45の露出部分に物理的且つ電気的に接触させて配置してもよい。導電性下部電極層45への直接的な電気接続である二次電極214は、効果的な第2の電界アニーラ電極接続であり得る。図6Cに示されるように、追加の二次電極214は、(一次配線110と同様の)二次配線114を使用してGNDに接続され得る。したがって、MFMコンデンサの強誘電体-誘電体層35にわたって、DCバイアス電圧の全体が降下する。一実施形態では、電界FEA中のDC電源130用のDCバイアス電圧設定は、MFMコンデンサの強誘電体-誘電体層35については、約10nmのtOX値に対して約3V~約10Vであり得る。
図7は、本発明の一実施形態による、半導体デバイスを作製するための製造プラットフォームを示す。製造プラットフォーム700は、半導体ウェハ上に導電層を堆積させるように構成された第1の堆積チャンバ701、半導体ウェハ上に誘電体層を堆積させるように構成された第2の堆積チャンバ、電界アニーラの処理チャンバ703、クリーニングチャンバ704上、およびウェハ搬送システム705を含む。
製造プラットフォーム700の内部は、処理条件を確実に清浄にするために、真空条件下に維持されてもよく、ウェハ搬送システム705は、処理されるウェハを、製造プラットフォーム700の処理チャンバ間で搬送するように構成されている。クリーニングチャンバ704は、処理の前および処理ステップの間に、ウェハから酸化物および汚染物質を除去するように構成されてもよい。
電界アニーラの処理チャンバ703を、様々な実施形態において上述してきた。実施形態では、処理チャンバ703は、半導体ウェハを支持するように構成された基板ホルダと、基板ホルダにより支持された半導体ウェハを加熱するように構成された加熱要素と、半導体ウェハの第1の主表面に取り外し可能に取り付けられるように構成された第1の電極と、第1の電極を第1の電位ノードに結合させる第1の配線と、を含む。
一実施形態では、処理チャンバ703は、基板ホルダに結合された第2の電極と、第2の電極を第2の電位ノードに結合させる第2の配線とを含むことができる。更に、処理チャンバ703は、半導体ウェハの第1の主表面に取り外し可能に取り付けられるように構成された第3の電極と、電圧監視メータと、第3の電極を電圧監視メータに結合させる第3の配線とを含むことができる。一実施形態では、処理チャンバ703は、半導体ウェハの第2の主表面に取り外し可能に取り付けられるように構成された第2の電極と、第2の電極を第2の電位ノードに結合させる第2の配線とを含むことができる。
更に、処理チャンバ703は、第1の電位ノードに結合された電源を含むことができる。一実施形態では、電源は、誘電体層にわたって電気バイアス電圧を印加するように構成されている。一実施形態では、電気バイアス電圧は、時間変化する電圧波形を含む。一実施形態では、電源は、加熱要素が半導体ウェハを加熱している間に、誘電体層にわたって電気バイアス電圧を印加するように構成されている。一実施形態では、加熱要素は、半導体ウェハの温度を第1の温度から第2の温度までランプさせる。
一実施形態によれば、半導体デバイスを作製する方法は、製造プラットフォーム700の第1の堆積チャンバ701内に半導体ウェハを配置することを含む。一実施形態では、半導体ウェハは、第1の導電層を備える。一実施例では、第1の導電層は、第2の堆積チャンバ702内のウェハ上に堆積されてもよく、その後、ウェハは、第1の堆積チャンバ701内に配置される。別の実施例では、ウェハは、第1の導電層をウェハ上に堆積させる前または後に、クリーニングチャンバ704内でクリーニングされてもよい。
本方法は、第1の堆積チャンバ701内の第1の導電層上に誘電体層を堆積させることを更に含む。その後で、方法は、第2の堆積チャンバ702内に半導体ウェハを配置することと、第2の堆積チャンバ702内の誘電体層上に第2の導電層を堆積させることと、を含む。
方法は、電界アニーラの処理チャンバ703内に半導体ウェハを配置することを更に含む。いったん処理チャンバ703内に入ると、方法は、第1の導電層を第1の電位に結合させ、第2の導電層を第2の電位に結合させることにより、誘電体層にわたって電気バイアス電圧を印加することと、電気バイアス電圧を印加しながら半導体ウェハをアニーリングすることと、を含む。
一実施形態によれば、電気バイアス電圧を印加することは、誘電体層にわたって時間変化する電圧波形を印加することを含む。一実施形態によれば、アニーリングは、半導体ウェハの温度を第1の温度から第2の温度までランプさせることを含む。一実施例では、第2の温度は、第1の温度よりも大きい。他の実施例では、第1の温度は、第2の温度よりも大きい。
一実施形態によれば、アニールすることは、第1の期間中に、半導体ウェハの温度を第1の温度から第2の温度までランプさせることと、その後、第2の期間中に、半導体ウェハの温度を少なくとも実質的に第2の温度に維持することとを含み、第2の温度は、第1の温度よりも大きい。
一実施形態によれば、アニールすることは、第1の期間中に、半導体ウェハの温度を少なくとも実質的に第1の温度に維持することと、その後、第2の期間中に、半導体ウェハの温度を第1の温度から第2の温度までランプさせることとを含み、第1の温度は、第2の温度よりも大きい。
一実施形態によれば、第1の導電層を第1の電位に結合させることは、半導体ウェハの第1の主表面に第1の電極を取り付けることを含み、第2の導電層を第2の電位に結合させることは、半導体ウェハの第2の主表面を基板ホルダ上に配置することと、基板ホルダを第2の電位に結合させることと、を含む。
一実施形態によれば、第1の導電層を第1の電位に結合させることは、半導体ウェハの第1の主表面に第1の電極を取り付けることを含み、第2の導電層を第2の電位に結合させることは、半導体ウェハの第2の主表面に第2の電極を取り付けることを含む。
時間変化する電圧波形は、パルスDC、交流パルス、正弦波、のこぎり波などを含んでもよい。時間変化する電圧波形の非限定的な実施例が、図8A~図8Cに示される。図8Aは、正弦波電圧波形801と一定ウェハ温度802との組み合わせを示す。
図8Bは、パルス電圧波形811とウェハ温度812との組み合わせを示し、ウェハ温度は、第1の期間中に、第1の温度から第2の温度までランプされ、その後、第2の期間中に、ウェハ温度は、少なくとも実質的に第2の温度に維持され、第2の温度は、第1の温度よりも大きい。別の実施形態によれば、ウェハ温度は、第1の期間中に、少なくとも実質的に第1の温度に維持され、その後、第2の期間中に、ウェハ温度は、第1の温度から第2の温度までランプさせることができ、第1の温度は、第2の温度よりも大きい。
図8Cは、のこぎり波形821とウェハ温度822との組み合わせを示し、ウェハ温度は、第1の期間中に、少なくとも実質的に第1の温度に維持され、その後、第2の期間中に、ウェハ温度は、第1の温度から第2の温度までランプされ、第1の温度は、第2の温度よりも大きい。別の実施形態によれば、ウェハ温度は、第1の期間中に、第1の温度から第2の温度までランプされてもよく、その後、第2の期間中に、ウェハ温度は、少なくとも実質的に第2の温度に維持され、第2の温度は、第1の温度よりも大きい。
本発明は、例示的実施形態を参照して説明されているが、本明細書は、限定的な意味で解釈されることを意図するものではない。当業者であれば、本明細書を参照することにより、それらの例示的実施形態の様々な修正形態および組み合わせ並びに本発明の別の実施形態が明らかになるであろう。したがって、添付の特許請求の範囲は、そのようなあらゆる修正形態または実施形態を包含することが意図される。
10 基板ホルダ
12 ドープされた半導体領域
14 基板ホルダ
15 BOX層
20 基板
21 第1の半導体領域
22 第2の半導体領域
25 シャロートレンチ分離(STI)領域
30 MOS誘電体層
35 強誘電体-誘電体層
40 導電性上部電極層
45 導電性下部電極層
50 半導体ウェハ
100 ロードレール
108 第1の導電性バス
109 第2の導電性バス
110 一次配線
112 監視配線
113 二次配線
114 二次配線
115 2つの配線
120 電力フィードスルー
130 DC電源
140 接地配線
150 電圧計
210 第1の電界アニーラ電極
211 一次電極
212 監視電極
214 二次電極
215 一次電極
216 二次電極
225 処理チャンバ
226 処理チャンバ
230 支持プレート
235 熱処理システム
236 熱処理システム
240 バッファウェハ
250 絶縁セラミックタブ
310 絶縁導電性配線
700 製造プラットフォーム
701 第1の堆積チャンバ
702 第2の堆積チャンバ
703 処理チャンバ
704 クリーニングチャンバ
705 ウェハ搬送システム
801 正弦波電圧波形
802 一定ウェハ温度
811 パルス電圧波形
812 ウェハ温度
821 のこぎり波形
822 ウェハ温度

Claims (20)

  1. 半導体デバイスを製造する方法であって、
    製造プラットフォームの第1の堆積チャンバ内に半導体ウェハを配置するステップであって、前記半導体ウェハは第1の導電層を備える、ステップと、
    前記第1の堆積チャンバ内の前記第1の導電層上に誘電体層を堆積させるステップと、
    前記製造プラットフォームの第2の堆積チャンバ内に前記半導体ウェハを配置するステップと、
    前記第2の堆積チャンバ内の前記誘電体層上に第2の導電層を堆積させるステップと、
    前記製造プラットフォームの電界アニーラの処理チャンバ内に前記半導体ウェハを配置するステップと、
    前記処理チャンバ内で、前記第1の導電層を第1の電位に結合させ、前記第2の導電層を第2の電位に結合させることにより、前記誘電体層にわたって電気バイアス電圧を印加するステップと、
    前記電気バイアス電圧を印加しながら、前記半導体ウェハをアニールするステップと、
    を有する、方法。
  2. 前記電気バイアス電圧を印加するステップは、前記誘電体層にわたって時間変化する電圧波形を印加するステップを有する、請求項1に記載の方法。
  3. 前記時間変化する波形は、パルスDC、交流パルス、正弦波、またはのこぎり波を含む、請求項2に記載の方法。
  4. 前記アニールするステップは、前記半導体ウェハの温度を第1の温度から第2の温度までランプさせるステップを有する、請求項1に記載の方法。
  5. 前記第2の温度は、前記第1の温度よりも大きい、請求項4に記載の方法。
  6. 前記第1の温度は、前記第2の温度よりも大きい、請求項4に記載の方法。
  7. 前記アニールするステップは、第1の期間中に、前記半導体ウェハの温度を第1の温度から第2の温度までランプさせるステップと、その後、第2の期間中に、前記半導体ウェハの前記温度を少なくとも実質的に前記第2の温度に維持するステップとを有し、
    前記第2の温度は、前記第1の温度よりも大きい、請求項1に記載の方法。
  8. 前記誘電体層は酸化ハフニウムを含む、請求項1に記載の方法。
  9. 前記アニールするステップは、第1の期間中に、前記半導体ウェハの温度を少なくとも実質的に第1の温度に維持するステップと、その後、第2の期間中に、前記半導体ウェハの前記温度を前記第1の温度から第2の温度までランプさせるステップと、を有し、
    前記第1の温度は、前記第2の温度よりも大きい、請求項1に記載の方法。
  10. 前記第1の導電層を前記第1の電位に結合させるステップは、前記半導体ウェハの第1の主表面に第1の電極を取り付けるステップを有し、
    前記第2の導電層を第2の電位に結合させるステップは、前記半導体ウェハの第2の主表面を基板ホルダ上に配置するステップと、前記基板ホルダを前記第2の電位に結合させるステップと、を有する、請求項1に記載の方法。
  11. 前記第1の導電層を前記第1の電位に結合させるステップは、前記半導体ウェハの第1の主表面に第1の電極を取り付けるステップを有し、前記第2の導電層を前記第2の電位に結合させるステップは、前記半導体ウェハの第2の主表面に第2の電極を取り付けるステップを有する、請求項1に記載の方法。
  12. 半導体デバイスを製造する製造プラットフォームであって、前記製造プラットフォームは、
    半導体ウェハ上に導電層を堆積させるように構成された第1の堆積チャンバと、
    前記半導体ウェハ上に誘電体層を堆積させるように構成された第2の堆積チャンバと、
    電界アニーラの処理チャンバであって、前記処理チャンバは、
    前記半導体ウェハを支持するように構成された基板ホルダと、
    前記基板ホルダにより支持された前記半導体ウェハを加熱するように構成された加熱要素と、
    前記半導体ウェハの第1の主表面に取り外し可能に取り付けられるように構成された第1の電極と、
    前記第1の電極を第1の電位ノードに結合する第1の配線と、
    を有する、処理チャンバと、
    を有する、製造プラットフォーム。
  13. 前記電界アニーラの前記処理チャンバは、さらに、
    前記基板ホルダに結合された第2の電極と、
    前記第2の電極を第2の電位ノードに結合する第2の配線と、
    を有する、請求項12に記載の製造プラットフォーム。
  14. 前記電界アニーラの前記処理チャンバは、さらに、
    前記半導体ウェハの前記第1の主表面に取り外し可能に取り付けられるように構成された第3の電極と、
    電圧監視メータと、
    前記第3の電極を電圧監視メータに結合する第3の配線と、
    を有する、請求項13に記載の製造プラットフォーム。
  15. 前記電界アニーラの前記処理チャンバは、さらに、
    前記半導体ウェハの第2の主表面に取り外し可能に取り付けられるように構成された第2の電極と、
    前記第2の電極を第2の電位ノードに結合する第2の配線と、
    を有する、請求項12に記載の製造プラットフォーム。
  16. 前記電界アニーラの前記処理チャンバは、さらに、
    前記第1の電位ノードに結合された電源を有する、請求項12に記載の製造プラットフォーム。
  17. 前記電源は、前記誘電体層にわたって電気バイアス電圧を印加するように構成されている、請求項16に記載の製造プラットフォーム。
  18. 前記電気バイアス電圧は、時間変化する電圧波形を含む、請求項17に記載の製造プラットフォーム。
  19. 前記電源は、前記加熱要素が前記半導体ウェハを加熱している間に、前記誘電体層にわたって電気バイアス電圧を印加するように構成されている、請求項16に記載の製造プラットフォーム。
  20. 前記加熱要素は、前記半導体ウェハの温度を第1の温度から第2の温度までランプさせる、請求項19に記載の製造プラットフォーム。
JP2022125996A 2021-08-09 2022-08-08 in-situ電気バイアスを用いた半導体製造プラットフォームおよびその方法 Pending JP2023024959A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/397159 2021-08-09
US17/397,159 US20210367046A1 (en) 2020-04-06 2021-08-09 Semiconductor manufacturing platform with in-situ electrical bias and methods thereof

Publications (1)

Publication Number Publication Date
JP2023024959A true JP2023024959A (ja) 2023-02-21

Family

ID=85249429

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022125996A Pending JP2023024959A (ja) 2021-08-09 2022-08-08 in-situ電気バイアスを用いた半導体製造プラットフォームおよびその方法

Country Status (3)

Country Link
JP (1) JP2023024959A (ja)
KR (1) KR20230022817A (ja)
TW (1) TW202314865A (ja)

Also Published As

Publication number Publication date
TW202314865A (zh) 2023-04-01
KR20230022817A (ko) 2023-02-16

Similar Documents

Publication Publication Date Title
US11569366B2 (en) Fully depleted SOI transistor with a buried ferroelectric layer in back-gate
JP2009170511A (ja) 半導体素子及び半導体装置
CN107785376A (zh) 3d交叉条非易失性存储器
WO2001043177A1 (en) Method for establishing ultra-thin gate insulator using anneal in ammonia
US20210358694A1 (en) Capacitor, method of controlling the same, and transistor including the same
CN108701655B (zh) 半导体存储元件,半导体器件,电子设备,以及半导体存储元件的制造方法
US20230187550A1 (en) Semiconductor device
TWI455283B (zh) 用於製造包含每單位面積有高電容之電容器之半導體組件之方法
KR19980080005A (ko) 반도체 구조를 형성하는 방법 및 강유전체 메모리 셀
TW201248737A (en) Semiconductor device and method of manufacturing the same
US11837652B2 (en) Semiconductor processing system with in-situ electrical bias and methods thereof
US20210367046A1 (en) Semiconductor manufacturing platform with in-situ electrical bias and methods thereof
US20210193793A1 (en) Back-end-of-line compatible metal-insulator-metal on-chip decoupling capacitor
US11894240B2 (en) Semiconductor processing systems with in-situ electrical bias
JP2023024959A (ja) in-situ電気バイアスを用いた半導体製造プラットフォームおよびその方法
JP2021009893A (ja) トランジスタおよび不揮発性メモリ、トランジスタの製造方法
TW202243262A (zh) 鐵電場效電晶體裝置
WO2021206684A1 (en) Semiconductor processing system with in-situ electrical bias and methods thereof
TWI821915B (zh) 具有原位電偏壓的半導體處理系統
JP4659436B2 (ja) 半導体装置の製造方法
WO2000045429A1 (fr) Procede de fabrication d'un dispositif de circuit integre a semi-conducteur
US11916099B2 (en) Multilayer dielectric for metal-insulator-metal capacitor
US11790243B1 (en) Ferroelectric field effect transistor for implementation of decision tree
US20230276633A1 (en) Ferroelectric memory device and method of fabricating the same
JP2008518435A (ja) ドープされたチタン酸ボディを有するMOS(Metal−Oxide−Semiconductor)デバイス