WO2021119924A1 - Chip stack structure and manufacturing method therefor - Google Patents

Chip stack structure and manufacturing method therefor Download PDF

Info

Publication number
WO2021119924A1
WO2021119924A1 PCT/CN2019/125668 CN2019125668W WO2021119924A1 WO 2021119924 A1 WO2021119924 A1 WO 2021119924A1 CN 2019125668 W CN2019125668 W CN 2019125668W WO 2021119924 A1 WO2021119924 A1 WO 2021119924A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
rewiring layer
bonding
metal
chip
Prior art date
Application number
PCT/CN2019/125668
Other languages
French (fr)
Chinese (zh)
Inventor
张晓东
李珩
王思敏
戚晓芸
王正波
牛瑞
Original Assignee
华为技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 华为技术有限公司 filed Critical 华为技术有限公司
Priority to PCT/CN2019/125668 priority Critical patent/WO2021119924A1/en
Priority to CN201980102829.3A priority patent/CN114762103A/en
Publication of WO2021119924A1 publication Critical patent/WO2021119924A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames

Definitions

  • This application relates to the technical field of chip packaging, and in particular to a chip stacking structure and a manufacturing method thereof.
  • Chip packaging also known as integrated circuit packaging, is a process of placing the produced wafer Die on a substrate that plays a role of bearing, leading out the pins, and then fixing the package as a whole.
  • the three-dimensional integrated circuit (3D IC) packaging technology is the vertical stacking of multi-layer wafers in three-dimensional space to form a single integrated packaging technology.
  • 3D IC packaging technology can cope with the electronic and material impact of the semiconductor manufacturing process. The problem of physical limitations saves chip space.
  • the vertical stacking of chips generally requires an additional dielectric layer to be prepared on the surfaces of the two wafers that are in contact with each other, and the two wafers are integrated through the silicon fusion bonding of the dielectric layer. Then, through silicon vias through the wafer and the dielectric layer are prepared to electrically connect the two wafers.
  • the thickness of the dielectric layer for bonding additionally prepared for each wafer can reach 1 micron to 5 microns. Therefore, the stacking of two layers of wafers will increase the thickness of the chip by an additional 2 microns to 10 microns. Micron, when the number of layers of the wafer stack increases, the additional thickness of the chip will also increase, and finally the package size of the chip will become larger.
  • the present application provides a chip stack structure and a manufacturing method thereof, which can reduce the stack thickness of the chip, improve the reliability of the electrical connection of the wafer and the heat dissipation performance, and the manufacturing process is simple.
  • the present application provides a chip stack structure, which includes: a first wafer, the active surface of the first wafer is provided with a first rewiring layer; a plurality of first bonding pads, a plurality of second A bonding pad is exposed on the surface of the first rewiring layer parallel to the first wafer, a plurality of first bonding pads are electrically connected to the metal wiring of the first rewiring layer; the second wafer, the second wafer and The first wafer is stacked, and the passive surface of the second wafer is bonded to the first rewiring layer and a plurality of first bonding pads; the second wafer is provided with a plurality of through silicon vias, each through silicon via One end of the passive surface of the second wafer is connected to at least one of the plurality of first bonding pads.
  • the chip stack structure provided by the present application includes a first wafer and a second wafer.
  • the first rewiring layer of the first wafer is provided with a bare first bonding pad, the first rewiring layer of the first wafer and The first bonding pad and the passive surface of the second wafer are directly bonded and connected, without the need to prepare an additional dielectric layer on the bonding surface, which reduces the thickness of the first wafer and the second wafer after stacking, so that After the chip is packaged, the size is smaller, lighter and thinner.
  • the structure further includes: a plurality of second bonding pads, the plurality of second bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer , The plurality of second bonding pads are bonded to the passive surface of the second wafer, and the plurality of second bonding pads are electrically connected to the metal wiring of the first rewiring layer.
  • the first rewiring layer and the first bonding pad of the first wafer are directly bonded to the passive surface of the second wafer, so that the thermal resistance between the first wafer and the second wafer is increased.
  • the second bonding pad can also be used as a heat conduction structure between wafers in this application, which can further reduce the heat between the wafers. It can improve the heat transfer efficiency between wafers and improve the heat dissipation performance after chip packaging.
  • the active surface of the second wafer is provided with a second rewiring layer
  • the second rewiring layer is provided with a plurality of metal pads, a plurality of metal pads and a second rewiring layer.
  • the metal wiring of the secondary wiring layer is electrically connected; one end of each silicon through hole located on the active surface of the second wafer is connected to at least one of the plurality of metal pads.
  • each through silicon hole is filled with a metal filler, and the metal filler is used to electrically connect the first bonding pad to which it is connected to the metal pad.
  • the metal filler is obtained by sputtering on the inner surface of the through silicon hole to form a seed layer connected to the first bonding pad, and performing metal electroplating on the surface of the seed layer .
  • the passive surface of the second wafer is provided with a first dielectric layer, and the passive surface of the second wafer passes through the first dielectric layer and the first rewiring layer ,
  • the plurality of first bonding pads and the plurality of second bonding pads are connected by bonding.
  • the first dielectric layer can be made of high thermal conductivity materials, such as silicon carbide, diamond, graphene or silicon nitride, etc., to reduce the thermal resistance between the first wafer and the second wafer, and improve the heat dissipation performance after the chip is packaged .
  • the present application provides a method for manufacturing a chip stack structure.
  • the method includes: preparing a first rewiring layer and a plurality of first bonding pads on an active surface of a first wafer, and a plurality of first bonds The bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer, and a plurality of first bonding pads are electrically connected with the metal wiring of the first rewiring layer; the passive surface of the second wafer is connected to the first rewiring layer.
  • the repeated wiring layer is bonded and connected to a plurality of first bonding pads; a plurality of through silicon vias are formed by etching on the second wafer, and one end of each through silicon via located on the passive surface of the second wafer is connected to a plurality of At least one of the first bonding pads is connected.
  • the manufacturing method of the chip stack structure provided in the present application directly bonds and connects the first rewiring layer of the first wafer and the passive surface of the second wafer, so there is no need to prepare an additional dielectric layer on the bonding surface or
  • the use of solder balls can reduce the thickness of the first wafer and the second wafer after stacking at least by the thickness of the additional dielectric layer, so that the size of the chip after packaging is smaller, lighter and thinner.
  • preparing the first rewiring layer and the plurality of first bonding pads on the active surface of the first wafer includes: preparing the active surface of the first wafer The first rewiring layer whose thickness is greater than the preset target thickness, and the multiple first bonding pads hidden in the first rewiring layer; through material removal processing, the first rewiring layer is thinned to the target thickness to make multiple The first bonding pad is exposed on the surface of the first rewiring layer parallel to the first wafer.
  • the first bonding pad in this application does not need to be connected to the solder balls, there is no need to precisely control the depth of the dish in the process of removing material, as long as the expansion margin is retained, thereby improving the process control Feasibility, so that production costs are reduced.
  • the method further includes: preparing a plurality of second bonding pads hidden in the first rewiring layer on the active surface of the first wafer; When the wiring layer is thinned to the target thickness, the plurality of second bonding pads are exposed on the surface of the first rewiring layer.
  • the first rewiring layer and the first bonding pad of the first wafer are directly bonded to the passive surface of the second wafer, so that the thermal resistance between the first wafer and the second wafer is improved.
  • the second bonding pad can also be used as a heat conduction structure between wafers in this application, which can further reduce the heat between the wafers. It can improve the heat transfer efficiency between wafers and improve the heat dissipation performance after chip packaging.
  • a plurality of through silicon vias are formed in the second wafer by etching, and an end of each through silicon via located on the passive surface of the second wafer is connected with the plurality of first through silicon vias.
  • At least one connection in the bonding pad includes: determining the projection position of at least one first bonding pad on the active surface of the second wafer; perpendicular to the active surface of the second wafer, starting from the projection position to the first
  • the through silicon hole is formed by etching in the direction of the bonding pad until the through silicon hole is in contact with the at least one first bonding pad.
  • the method provided by the present application does not generate additional dielectric etching during the process of etching through silicon vias, and only etches the silicon of the wafer body, and does not need to select the etching selection ratio for different materials, and avoids The problem of the formation of fin-shaped etching morphology and drum-shaped etching morphology in the dielectric layer due to the inappropriate etching selection ratio, so that the continuity of the seed layer and the metal filler is ensured, and the first wafer and the first wafer are improved. The reliability of the connection between the two wafers.
  • the method further includes: preparing a second rewiring layer on the active surface of the second wafer, and the second rewiring layer is provided with a plurality of metal pads, and The metal pads are electrically connected to the metal wiring of the second rewiring layer; one end of each through silicon via located on the active surface of the second wafer is connected to at least one of the plurality of metal pads. Therefore, the through silicon via is directly connected to the first bonding pad and the metal pad without passing through the dielectric layer, which improves the electrical connection reliability of the first wafer and the second wafer.
  • the method further includes: forming a seed layer connected to the first bonding pad by surface sputtering on the inner surface of the through silicon hole; and performing metal plating on the surface of the seed layer A metal filler is formed, and the metal filler electrically connects the first bonding pad with the metal pad.
  • the TSV does not need to pass through the dielectric layer during etching, and the fin-shaped etching morphology and the drum-shaped etching morphology will not be generated due to the problem of the etching selection ratio. Therefore, the through-silicon hole does not need to pass through the dielectric layer.
  • the seed layer on the surface can have good coverage continuity, so that the metal filler can be continuously and fully filled into the through silicon hole, and the metal filler can ensure that the first wafer and the second wafer can form a reliable electrical connection.
  • bonding the passive surface of the second wafer to the first rewiring layer and multiple first bonding pads includes: A first dielectric layer is prepared on the source surface; the first dielectric layer is bonded and connected with the first rewiring layer, the first bonding pad and the second bonding pad.
  • the first dielectric layer can be made of a material with high thermal conductivity, such as silicon carbide, diamond, graphene, or silicon nitride. In order to reduce the thermal resistance between the first wafer and the second wafer, the heat dissipation performance after the chip packaging is improved.
  • the present application provides an electronic device that includes a printed circuit board (PCB) and at least one computer chip provided on the printed circuit board, wherein part of the at least one computer chip is Or all have the chip stack structure as in the above-mentioned first aspect and any design manner thereof.
  • PCB printed circuit board
  • Figure 1 is a schematic diagram of a chip stacking structure
  • Figure 2 Schematic diagram of fin-type etching morphology and drum-type etching morphology
  • Figure 3 is a schematic diagram of another chip stacking structure
  • Embodiment 4 is an exploded view of the chip stack structure provided by Embodiment 1 of the present application.
  • FIG. 6 is an exploded view of another chip stack structure provided by Embodiment 1 of the present application.
  • FIG. 7 is a cross-sectional view of the stacked state of the first wafer and the second wafer
  • FIG. 8 is an exploded view of another chip stack structure provided by Embodiment 1 of the present application.
  • FIG. 9 is an exploded view of a multi-layer chip stack structure shown in an embodiment of the present application.
  • FIG. 10 is a cross-sectional view of a multi-layer chip stack structure shown in an embodiment of the present application.
  • FIG. 11 is a schematic structural diagram of an integrated chip shown in an embodiment of the present application.
  • FIG. 12 is a schematic diagram of step S101 of a manufacturing method of a chip stack structure provided by an embodiment of the present application.
  • FIG. 13 is a schematic diagram of step S101 of a method for manufacturing a chip stack structure according to an embodiment of the present application.
  • FIG. 14 is a schematic diagram of step S102 of a method for manufacturing a chip stack structure according to an embodiment of the present application.
  • step S103 is a schematic diagram of step S103 of a method for manufacturing a chip stack structure provided by an embodiment of the present application.
  • FIG. 16 is a schematic diagram of step S104 of a method for manufacturing a chip stack structure provided by an embodiment of the present application.
  • FIG. 17 is a schematic diagram of step S102 of a method for manufacturing a chip stack structure provided by an embodiment of the present application.
  • first and second are only used for descriptive purposes, and cannot be understood as indicating or implying relative importance or implicitly indicating the number of indicated technical features. Thus, the features defined with “first” and “second” may explicitly or implicitly include one or more of these features. In the description of the present embodiment, unless otherwise specified, “plurality” means two or more.
  • Chips are important components in various electronic devices, and the product performance of electronic devices largely depends on the performance of the chip.
  • NN neural network
  • AI artificial intelligence
  • HPCC high-performance computing cluster
  • the process of the chip has been continuously improved, and the number of transistors has been continuously increased. Therefore, the performance of the chip has been continuously enhanced, and the operating power consumption has also increased accordingly.
  • the integration of electronic equipment is getting higher and higher, and the space in the fuselage becomes more and more inches.
  • Inch gold which makes all kinds of chips need to have high performance while also having a smaller volume. This demand poses a challenge to chip packaging.
  • Chip packaging also known as integrated circuit packaging, is a process of placing the produced wafer Die on a substrate that plays a role of bearing, leading out the pins, and then fixing the package as a whole.
  • a wafer may also be called a bare die, a bare chip, or a bare chip. It is a small unpackaged integrated circuit body made of semiconductor materials. The established function of the integrated circuit is in this Realized on a small piece of semiconductor.
  • 3D IC packaging technology uses multi-layer wafers in three-dimensional space. Vertical integration, forming a single integrated packaging technology, 3D IC packaging technology can cope with the problem that the semiconductor manufacturing process is restricted by the physical limits of electronics and materials, and save chip space.
  • the vertically arranged multi-layer wafer Die can first form a stacked structure by means of fusion bonding (FB), etc., and then can be formed by wire bonding (WB) or Through silicon via (TSV) and other technologies realize electrical interconnection between different layers of wafers. Finally, the above-mentioned stacked structure is plastic-encapsulated to obtain a packaged chip.
  • FB fusion bonding
  • WB wire bonding
  • TSV Through silicon via
  • silicon fusion bonding is a low-cost 3D stacking method applicable to 3D IC packaging technology.
  • the silicon fusion bonding process is simple, the production speed is fast, and the parasitic capacitance is small, the integration density is high, and the short channel effect is small.
  • the 3D stacked structure is particularly suitable for the SOI (silicon on insulator, silicon on insulating substrate) structure of low-voltage and low-power circuits.
  • TSV is also called TSV, which is a vertical electrical connection technology that penetrates the device layer of the silicon wafer.
  • through-silicon vias are through-holes that connect the upper and lower sides of the wafer, and conductors (that is, through-hole metal) are poured into the through-holes to form conductive connections.
  • the infused conductor can be determined according to its specific process, such as conductive materials such as copper, tungsten, and polysilicon, and an insulating layer (usually silicon dioxide) is used to isolate the through-silicon via conductive material from the substrate.
  • FIG. 1 is a schematic diagram of a chip stacking structure.
  • the chip stack structure includes an upper wafer 10 and a lower wafer 20 stacked on each other.
  • the active surface of the upper wafer 10 (the upper surface of the upper wafer 10 in FIG. 1)
  • the active surface of the lower wafer 20 (the upper surface of the lower wafer 20 in FIG. 1) are respectively prepared with rewiring Layers 11 and 21.
  • the passive surface of the upper wafer 10 (the lower surface of the upper wafer 10 in FIG.
  • the stacked structure also includes through-silicon vias 30 penetrating the bulk silicon of the upper wafer 10 and the dielectric layers 12 and 22, so that the upper wafer 10 and the lower wafer 20 are electrically interconnected.
  • the rewiring layer of the upper wafer 10 is provided with solder balls 13 which are used to form an electrical connection with an external substrate or a printed circuit board PCB.
  • the active surface of the wafer refers to the surface of the wafer that has active devices, and the surface of the wafer that does not have active devices. , It is called a passive surface.
  • the lower surface of the upper wafer 10 and the lower surface of the lower wafer 20 in FIG. 1 are both passive surfaces; the redistribution layer (RDL) refers to the surface of the wafer
  • the metal wiring pattern is formed by depositing the metal layer and the dielectric layer to realize the re-layout of the I/O ports of the chip.
  • the rewiring layer is usually deposited on the active surface of the wafer.
  • the rewiring layer 21 of the lower wafer 20 is deposited on the active surface of the lower wafer 20
  • the rewiring of the upper wafer 10 is The layer 11 is deposited on the active surface of the upper wafer 10.
  • the structure shown in FIG. 1 additionally prepares a dielectric layer 12 and a rewiring layer 21 on the passive surface of the upper wafer 10 and the rewiring layer 21 of the lower wafer 20 22.
  • the thickness of the dielectric layers 12 and 22 can currently reach 1 micrometer to 5 micrometers, which will increase the thickness of the stacked chip, especially when the number of stacked wafer layers of the chip is large, the thickness of the dielectric layers 12 and 22 For example, the number of stacked layers of 3D NAND flash memory wafers can reach 96 or even 128 layers, resulting in a very significant increase in the thickness of the dielectric layer, which is not conducive to the lightness and thinness of the chip.
  • silicon fusion bonding needs to meet certain surface flatness and roughness requirements, before silicon fusion bonding is performed on the dielectric layer, the surface of the dielectric layer needs to be accurately chemically mechanically polished (chemical mechanical polis, CMP). ), additional process steps and costs are added, and the packaging efficiency is reduced.
  • the TSV 30 of the structure shown in FIG. 1 passes through the bulk silicon of the upper wafer 10 and the dielectric layers 12 and 22, it is not only necessary to etch the bulk silicon of the upper wafer 10 when preparing the TSV 30. , The dielectric layers 12 and 22 need to be etched. Generally speaking, if only the wafer body silicon is etched, the Bosch process can be used; among them, the Bosch process is deep reactive ion etching (DRIE), which is a high aspect ratio silicon etching based on fluorine-based gas.
  • DRIE deep reactive ion etching
  • Etching technology which alternately converts etching gas and passivation gas, so that the reactive ion etching process continuously deposits an anti-etching layer or a side wall passivation layer on the side wall of the etching hole.
  • the etching gas of the Bosch process is sulfur hexafluoride SF 6
  • the passivation gas is octafluorocyclobutane C 4 F 8 .
  • C 4 F 8 can form fluorinated carbon polymer in the plasma, which can be deposited on the silicon surface to prevent the reaction of fluoride ions with silicon.
  • the gas used in deep reactive ion etching can only etch or passivate silicon, while the dielectric layer is generally oxide or nitride.
  • RIE reactive ion etching
  • Fin-type etching morphology and drum-type etching morphology will cause the sidewall shape of the etched hole to fluctuate greatly, which affects the coverage continuity of the seed layer during the preparation process of the through silicon via, and discontinuous coverage
  • the seed layer will cause subsequent core metal filling failures, resulting in failure of electrical interconnections between stacked wafers.
  • the chip stack structure shown in FIG. 1 needs to completely cover the dielectric layers 12 and 22 on the upper wafer 10 and the lower wafer 20, so that the upper wafer 10 and the lower wafer 20 have a larger thermal resistance, which hinders
  • the through-silicon vias 30 are only distributed in a small area of the wafer, and the heat dissipation effect of the wafer is very limited. Therefore, the structure of FIG. 1 may also cause heat dissipation problems in the chip, which affects the performance of the chip.
  • FIG. 3 is a schematic diagram of another chip stacking structure.
  • the chip stack structure includes an upper wafer 10 and a lower wafer 20 stacked on each other.
  • the active surface of the upper wafer 10 (the upper surface of the upper wafer in FIG. 3) and the active surface of the lower wafer 20 (the upper surface of the lower wafer in FIG. 3) are prepared with a large number of metal pads 14 and 24.
  • the positions of the metal pads 14 of the upper wafer 10 and the metal pads 24 of the lower wafer correspond to each other, and are connected by solder balls 40 to realize the stack interconnection of the upper wafer 10 and the lower wafer 20.
  • the stacked structure shown in FIG. 3 needs to strictly control the positions of the metal pads 14 and 24 during preparation.
  • the precision and the strict control of the precision of the butterfly profile (dish) formed during the chemical mechanical polishing of the metal pads 14 and 24 during the chemical mechanical polishing CMP make the production process difficult and low achievability.
  • due to the use of solder balls 40 for interconnection between the wafers due to the limitation of the diameter of the solder balls 40, there are limits to the spacing between the wafers and the pad pitch between the metal pads 14 and 24, which cannot be further reduced. , So that the height and horizontal size of the chip stack structure shown in FIG. 3 are relatively large, and the size of the chip after subsequent packaging is increased.
  • the embodiments of the present application provide a chip stack structure and a manufacturing method thereof to solve the technical problems existing in the above solutions. It should be noted that the chip stack structure described in the embodiments of the present application can have multiple different forms and can be implemented in multiple ways. The chip stack structure and manufacturing method discussed below are only some preferred implementations, used to illustrate the feasibility of the structure described in the embodiments of the present application, and do not limit the protection scope of the embodiments of the present application. It is also within the protection scope of the embodiments of the present application to implement the stacked package structure described in the embodiments of the present application by other methods or procedures.
  • the first embodiment of the present application provides a chip stack structure, which can be applied to the 3D IC packaging technology of the chip, so as to reduce the thickness of the chip stack and improve the heat dissipation performance of the chip.
  • FIG. 4 is an exploded view of the chip stack structure provided by Embodiment 1 of the present application. As shown in Figure 4, the chip stack structure includes:
  • the first wafer 100 and the second wafer 200, and the first wafer 100 and the second wafer 200 are stacked on top of each other.
  • the first wafer 100 and the second wafer 200 may be silicon wafers made of silicon material, for example.
  • Both the first wafer 100 and the second wafer 200 include an active surface and a passive surface.
  • the active surface 110 of the first wafer 100 corresponds to the upper surface of the first wafer 100 in FIG.
  • the passive surface 120 of the circle 100 corresponds to the lower surface of the first wafer 100 in FIG. 4
  • the active surface 210 of the second wafer 200 corresponds to the upper surface of the second wafer 200 in FIG.
  • the passive surface 220 of FIG. 4 corresponds to the lower surface of the second wafer 200, and the active surface 110 of the first wafer 100 and the passive surface 220 of the second wafer 200 are arranged opposite to each other.
  • the active surface 110 of the first wafer 100 is provided with a first rewiring layer 130.
  • the first rewiring layer 130 includes a dielectric layer 131 and metal wiring 132.
  • the dielectric layer 131 covers the active surface 110 of the first wafer 100, and the dielectric layer 131 is used as an insulating material between the metal wirings 132, and can be made of an insulator material that can be polarized, for example, in the first wafer
  • the active surface 110 of the wafer 100 uses plasma enhanced chemical vapor deposition (PECVD) to deposit materials such as silicon dioxide to form the dielectric layer 131.
  • PECVD plasma enhanced chemical vapor deposition
  • the metal wiring 132 is used as the lead wire of the I/O port of the chip to shuttle through the dielectric layer 131.
  • the metal wiring 132 can be realized by using copper or other metal materials. For example, a Damascus process is used to etch the metal wiring 132 on the dielectric layer 131. The film is then filled with metal to form the metal wiring 132, or the metal wiring 132 is formed on the dielectric layer 131 by electroplating. It is easy to understand that since the chip usually has multiple I/O ports, the metal wiring 132 in the embodiment of the present application includes multiple metal wires for the leads of each I/O port.
  • the first rewiring layer 130 is further provided with a plurality of first bonding pads 133, and the first bonding pads 133 are exposed on the surface of the first rewiring layer 130 parallel to the first wafer 100, namely :The first bonding pad 133 is disposed facing the passive surface 220 of the second wafer 200.
  • the plurality of first bonding pads 133 are electrically connected to the metal wiring 132 of the first rewiring layer 130.
  • the first bonding pad 133 can be realized by using copper or other metal materials, and is prepared together with the first rewiring layer 130 by using Damascus or electroplating.
  • the first rewiring layer 130 may include one or more layers of metal wiring 132, and each layer of metal wiring 132 may be connected to the first bonding pad 133. Therefore, the first bonding pad 133 indicated in FIG. 4 may be understood as including only one first bonding pad 133, or may be understood as including a stack of a plurality of first bonding pads 133.
  • the active surface 210 of the second wafer 200 is provided with a second rewiring layer 230.
  • the second rewiring layer 230 is composed of a dielectric layer 231 and a metal wiring 232.
  • the implementation of the dielectric layer 231 and the metal wiring 232 of the second rewiring layer 230 can refer to the dielectric layer 131 and the metal wiring 232 of the first rewiring layer 130.
  • Implementation of the metal wiring 132 It is easy to understand that, depending on the design of the integrated circuit of the wafer, the dielectric and metal wiring of the first rewiring layer 130 and the second rewiring layer 230 can be implemented in the same way or in different ways. For example, the layout of the metal wiring is different, and the implementation of the second rewiring layer 230 in the embodiment of the present application will not be repeated.
  • the second wafer 200 is further provided with a plurality of through silicon vias 233, and the plurality of through silicon vias 233 penetrate from the active surface 210 of the second wafer 200 to the passive surface of the second wafer 200. ⁇ 220.
  • the second rewiring layer 230 of the second wafer 200 is also provided with a plurality of metal pads 234 which are electrically connected to the metal wiring 232 in the second rewiring layer 230.
  • the metal pad 234 may be implemented using copper or other metal materials, for example, prepared in the second rewiring layer 230 using a Damascus process or an electroplating method.
  • the second rewiring layer 230 may include one or more layers of metal wiring 232, and each layer of metal wiring 232 may be connected to a metal pad 234. Therefore,
  • the metal shim plate 234 indicated in FIG. 4 can be understood as including only one metal shim plate 234, or can be understood as including a stack of a plurality of metal shim plates 234.
  • FIG. 5 is a cross-sectional view of the stacked state of the first wafer and the second wafer.
  • the passive surface 220 of the second wafer 200 is bonded to the first rewiring layer 130 of the first wafer 100, so that the first wafer 100 and the second wafer 200 are stacked.
  • a special feature of the embodiment of the present application is that the first bonding pad 133 is exposed on the surface of the first rewiring layer 130, so that the first bonding pad 133 can also be bonded to the passive surface 220 of the second wafer 200.
  • the "passive surface 220 of the second wafer 200 and the first rewiring layer 130 of the first wafer 100 are bonded and connected" in the embodiment of the present application is actually the passive surface 220 of the second wafer 200
  • the bonding connection is achieved with the first rewiring layer 130 of the first wafer 100 and the plurality of first bonding pads 133.
  • each through silicon via 233 located on the active surface 210 of the second wafer 200 is connected to at least one metal pad 234, and each through silicon via 233 is located on the second wafer 200.
  • One end of the passive surface 220 is connected to at least one first bonding pad 133.
  • the through silicon via 233 is also filled with a metal filler 235, such as electroplated copper.
  • the metal filler 235 can be formed by sputtering on the inner surface of the through silicon hole 233 to form a seed layer connected to the first bonding pad 133, and then performing metal plating on the surface of the seed layer.
  • the metal filler 235 is used to make the metal pad 234 at one end of the through silicon hole 233 and the first bonding pad 133 at the other end of the through silicon hole 233 form an electrical connection to realize the connection between the first wafer 100 and the second wafer 200 Electrical interconnection.
  • the second rewiring layer 230 may also be provided with solder balls 236 as shown in FIG.
  • the chip is connected to an external substrate or printed circuit board, so that the chip and the external substrate or printed circuit board PCB form an electrical connection.
  • the chip stack structure provided by the embodiment of the present application directly bonds and connects the first rewiring layer 130 of the active surface 110 of the first wafer 100 and the passive surface 220 of the second wafer 200, so there is no need to connect the bonding surface
  • Preparing an additional dielectric layer or using solder balls can make the thickness of the first wafer 100 and the second wafer 200 after stacking at least reduce the thickness of the additional dielectric layer and solder balls, so that the size of the chip after packaging can be more reduced. Smaller and thinner.
  • the additional dielectric layer is omitted, the thermal resistance after the first wafer 100 and the second wafer 200 are stacked is reduced, which facilitates the transfer of heat between the wafers and improves the heat dissipation performance of the chip.
  • first rewiring layer 130 of the first wafer 100 is also provided with a bare first bonding pad 133
  • the second wafer 200 is also provided with a through silicon via 233 connected to the first bonding pad 133, so that the first The first wafer 100 and the second wafer 200 can be directly electrically interconnected through the through silicon vias 233, without the use of solder balls or etching the dielectric layer, so the structure is simple, the process steps are simplified, and the connection reliability is high.
  • the chip stack structure provided by the embodiment of the present application further includes: a plurality of second bonding pads 134.
  • the second bonding pad 134 is exposed and disposed on the surface of the first rewiring layer 130 parallel to the active surface 110 of the first wafer 100, that is, the second bonding pad 134 faces the passive surface 220 of the second wafer 200 Set up.
  • the plurality of second bonding pads 134 are electrically connected to the metal wiring 132 of the first rewiring layer 130.
  • the second bonding pad 134 can be realized by using copper or other metal materials, and prepared together with the first rewiring layer 130 by using Damascus or electroplating.
  • FIG. 7 is a cross-sectional view of the stacked state of the first wafer and the second wafer.
  • the second bonding pad 134 since the second bonding pad 134 is exposed on the surface of the first rewiring layer 130, the second bonding pad 134 can also be bonded to the passive surface 220 of the second wafer 200. Therefore, the “passive surface 220 of the second wafer 200 and the first rewiring layer 130 of the first wafer 100 are bonded and connected” in the embodiment of the present application may also be the passive surface 220 of the second wafer 200
  • the bonding connection is achieved with the first rewiring layer 130 of the first wafer 100, the plurality of first bonding pads 133, and the plurality of second bonding pads 134.
  • the first bonding pad 133 and the second bonding pad 134 may be the same bonding pad or different bonding pads.
  • the main difference between the two is that the first bonding pad 133 is used It is connected to the through silicon via 233 of the second wafer 200, so that the first wafer 100 and the second wafer 200 are electrically interconnected; and the second bonding pad 134 is only connected to the passive surface 220 of the second wafer 200 It is not connected to the through silicon via 233. Therefore, the second bonding pad 134 is used as a heat conduction structure between wafers in the embodiment of the present application, which can further reduce the thermal resistance between the wafers and increase the heat on the wafers. The transfer efficiency between the chips improves the heat dissipation performance after the chip is packaged.
  • the passive surface 220 of the second wafer 200 in the embodiment of the present application is further provided with a first dielectric layer 237.
  • the passive surface 220 of the second wafer 200 is provided with the first dielectric layer 237
  • the "passive surface 220 of the second wafer 200 and the first rewiring layer of the first wafer 100" in the embodiment of the present application 130 bonding connection" can also be the first dielectric layer 237 of the second wafer 200 and the first rewiring layer 130 of the first wafer 100, a plurality of first bonding pads 133, and a plurality of second bonding
  • the disk 134 realizes the bond connection.
  • the first dielectric layer 237 may be made of a high thermal conductivity material, such as silicon carbide, diamond, graphene, or silicon nitride.
  • a high thermal conductivity material such as silicon carbide, diamond, graphene, or silicon nitride.
  • a chip packaged with 3D IC technology may include two or more layers of wafers stacked on each other, and the stacked structure of the first wafer 100 and the second wafer 200 shown in the embodiment of the present application may be A stack structure used by any adjacent two layers of wafers in the chip.
  • any two adjacent layers of wafers can adopt the same or different stacking structure, for example: all adjacent two layers of wafers use the first wafer 100 and the second wafer.
  • the stacked structure of the circle 200, or the stacked structure of the first wafer 100 and the second wafer 200 with only part of adjacent two-layer wafers, does not exceed the protection scope of the embodiments of the present application.
  • 9 and 10 are an exploded view and a cross-sectional view of a multi-layer chip stack structure shown in an embodiment of the present application.
  • the chip stack structure includes: multiple layers of mutually stacked wafers, such as wafer 1, wafer 2, wafer 3, ..., wafer N. Wherein, any two adjacent wafers can be used as the first wafer and the second wafer in the embodiment of the present application, and have a stacked structure of the first wafer and the second wafer in the embodiment of the present application.
  • wafer1 can be implemented as a first wafer, and the rewiring layer RDL1 of wafer1 can be implemented as a first rewiring layer accordingly; wafer2 can be implemented as a second corresponding to wafer1 For the wafer, the rewiring layer RDL2 of wafer2 can be implemented as a second rewiring layer accordingly.
  • the passive surface 322 of the wafer 2 is bonded to the rewiring layer RDL1 of the wafer 1, the plurality of first bonding pads 133 and the plurality of second bonding pads 134.
  • Wafer2 is provided with multiple through silicon vias 233, one end of each through silicon via 233 is connected to at least one of the multiple first bonding pads 133 of wafer1, and the other end is connected to the rewiring layer RDL2 of wafer2
  • the metal wiring 132 is connected to realize the electrical interconnection between wafer wafer1 and wafer wafer2.
  • wafer2 can be implemented as the first wafer, and the rewiring layer RDL2 of wafer2 can be implemented as the first rewiring layer accordingly; wafer3 can be implemented as the second corresponding to wafer2 For the wafer, the rewiring layer RDL3 of wafer3 can be implemented as a second rewiring layer accordingly.
  • the passive surface 332 of the wafer 3 is bonded to the rewiring layer RDL2 of the wafer 2, the plurality of first bonding pads 133 and the plurality of second bonding pads 134.
  • Wafer3 is provided with multiple through silicon vias 233, one end of each through silicon via 233 is connected to at least one of the multiple first bonding pads 133 of wafer2, and the other end is connected to the rewiring layer RDL3 of wafer3
  • the metal wiring 132 is connected to realize the electrical interconnection of wafer2 and wafer3.
  • a stack structure of wafer m and wafer m+1 (m is a natural number, m+1 ⁇ N) can also be implemented with reference to the stack structure shown in FIG. 9 and FIG. 10.
  • the wafer (m) when the wafer (m) is implemented as the first wafer, the wafer (m+1) may be implemented as the second wafer, which will not be repeated in the embodiment of the present application.
  • the chip stack structure shown in FIG. 9 and FIG. 10 can be applied to stacked dynamic random access memory (stacked DRAM), 3D NAND flash memory, and other chips that require wafers to be stacked and packaged.
  • stacked DRAM stacked dynamic random access memory
  • 3D NAND flash memory 3D NAND flash memory
  • the stacked DRAM can be, for example, high-bandwidth memory (HBM).
  • HBM high-bandwidth memory
  • the chip stack structure shown in FIG. 9 and FIG. 10 is applied to HBM, the thickness of the wafer stack of HBM can be effectively reduced, making HBM in More wafers can be stacked with the same thickness, which enables HBM to provide higher bandwidth and larger capacity with a smaller volume; the chip stacking structure shown in Figure 9 and Figure 10 can also improve the heat dissipation performance of HBM, which is beneficial for improvement
  • the frequency of HBM enables HBM to play a higher performance.
  • the wafer stack thickness of 3D NAND flash memory can be effectively reduced, so that 3D NAND flash memory can stack more wafers with the same thickness, for example, from The existing 96-layer and 128-layer wafers are stacked to more layers, enabling 3D NAND flash memory to provide larger capacity with a smaller volume; the chip stacking structure shown in Figure 9 and Figure 10 can also improve the performance of 3D NAND flash memory.
  • the heat dissipation performance is conducive to improving the continuous read and write performance of 3D NAND flash memory.
  • the rewiring layer RDL N of the uppermost wafer wafer N can be provided with solder balls 236, through which the package can be packaged.
  • the latter chip is integrated on the external substrate or printed circuit board, so that the chip and the external substrate or printed circuit board PCB form an electrical connection.
  • the integrated chip includes a substrate and at least one chip.
  • a part of the chips (hereinafter referred to as chip 1) may have the chip stack shown in FIGS. 9 and 10 Structure, another part of the chip (hereinafter referred to as chip 2) may have other forms of chip stacking structure.
  • the chip 1 may be electrically connected to the substrate 238 through solder balls 236, and the chip 2 may include a multi-layer wafer.
  • the multi-layer wafer can be packaged using the chip stack structure provided in the embodiment of the present application, or other chip stacks can be used.
  • the structure for example, a connection structure such as the interposer 239, is packaged.
  • the integrated chip shown in FIG. 11 may be a graphics processing unit (GPU), where chip 1 may be the video memory chip of the graphics processor, such as an HBM video memory chip, and chip 2 may be the graphics processing unit.
  • the system chip (syetem on chip, SOC) of the processor, a graphics processor may include at least one system chip and multiple HBM video memory chips.
  • the chip stack structure provided by the embodiments of the present application can enable the HBM video memory chip to provide higher bandwidth and larger capacity with a smaller volume, which is beneficial to improving the performance of the graphics processor.
  • the second embodiment of the present application provides a method for fabricating a chip stack structure, and the method can be used to fabricate the chip stack structure of the first embodiment of the present application and various embodiments thereof.
  • the method may include the following steps S101 to S104:
  • Step S101 preparing a first rewiring layer and a plurality of first bonding pads on the active surface of the first wafer, and the plurality of first bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer. , The plurality of first bonding pads are electrically connected to the metal wiring of the first rewiring layer.
  • the first rewiring layer includes a dielectric layer and metal wiring.
  • the dielectric layer can be formed by depositing silicon dioxide and other materials on the active surface of the first wafer using the PECVD method; the metal wiring can be prepared together with the first bonding pad, for example, using a Damascus process to etch metal on the dielectric layer
  • the pattern film for wiring and the first bonding pad is then filled with metal to form the metal wiring and the first bonding pad, or electroplating is used to prepare the metal wiring and the first bonding pad on the dielectric layer. It should be noted that the final prepared first bonding pad needs to be exposed on the surface of the first rewiring layer.
  • step S101 can be implemented through step S201 to step S202 as shown in FIG. 12:
  • step S201 a first rewiring layer 130 with a thickness greater than a predetermined target thickness H and a plurality of first bonding pads 133 hidden in the first rewiring layer 130 are prepared on the active surface 110 of the first wafer 100.
  • the target thickness H refers to the thickness of the first rewiring layer determined during chip design.
  • the target thickness H can be determined according to design rules.
  • the design rules can be, for example, parameters provided by semiconductor manufacturers to ensure the target thickness. H meets the parameter requirements required by manufacturing.
  • the metal wiring 132 and the first bonding pad 133 are both hidden in the dielectric layer 131 of the first rewiring layer 130, wherein the first bonding pad 133 is The direction perpendicular to the active surface 110 of the first wafer 100 has a certain depth, and the distance L between the end of the first bonding pad 133 away from the active surface 110 and the active surface 110 is greater than the target thickness H.
  • step S202 the first rewiring layer 130 is thinned to a target thickness H through material removal processing, so that the plurality of first bonding pads 133 are exposed on the surface of the first rewiring layer 130 parallel to the first wafer 100.
  • the CMP process can be used to remove material from the first rewiring layer 130, so that the first rewiring layer 130 is thinned to a target thickness, and the first bonding pad 133 is changed from the hidden state after step S201. It is naked.
  • an oxide layer may be generated on the exposed surface of the first bonding pad 133. Therefore, after CMP, chemical cleaning or plasma cleaning can also be used to remove the oxide layer.
  • chemical cleaning methods such as formic acid cleaning, plasma cleaning The method is for example argon plasma cleaning, which will not be repeated here.
  • the first bonding pad 133 will be subjected to a dish effect to form a dish-shaped contour dish on the exposed surface. Since the first bonding pad 133 is inconsistent with the material of the surrounding dielectric 131, this The dish-shaped profile will form a shallow groove, which can be used as an expansion allowance for metal creep and plastic deformation in the subsequent bonding process. It should be supplemented that since the first bonding pad 133 in the embodiment of the present application does not need to be connected to the solder balls, there is no need to precisely control the depth of the dish during the CMP process, as long as the expansion margin is retained. Therefore, the method of the embodiment of the present application improves the feasibility of process control, and reduces the production cost.
  • step S101 can also pass through step S301 shown in FIG. 13 And step S302 is implemented:
  • Step S301 preparing a first rewiring layer 130 larger than a preset target thickness H on the active surface 110 of the first wafer 100, and a plurality of first bonding pads 133 and multiple layers hidden in the first rewiring layer 130 A second bonding pad 134.
  • the metal wiring 132, the first bonding pad 133, and the second bonding pad 134 are all hidden in the dielectric layer 131 of the first rewiring layer 130, wherein, The first bonding pad 133 and the second bonding pad 134 each have a certain depth along the direction perpendicular to the active surface 110 of the first wafer 100, and the distance between the first bonding pad 133 and the second bonding pad 134 The distance between one end of the active surface 110 and the active surface 110 is greater than the target thickness H.
  • step S302 the first rewiring layer 130 is thinned to a target thickness H by removing the material, so that the plurality of first bonding pads 133 and the plurality of second bonding pads 134 are exposed in parallel with the first rewiring layer 130 On the surface of the first wafer 100.
  • the first bonding pad 133 and the second bonding pad 134 may be the same bonding pad or different bonding pads.
  • the main difference between the two is that the first bonding pad 133 is used It is connected to the through-silicon via of the second wafer, so that the first wafer 100 and the second wafer are electrically interconnected; and the second bonding pad 134 is only connected to the passive surface of the second wafer, not to the silicon Through-hole connection, therefore, the second bonding pad 134 is used as a heat conduction structure between wafers in the embodiment of the present application, which can reduce the thermal resistance between the wafers, improve the heat transfer efficiency between the wafers, and improve The heat dissipation performance after the chip is packaged.
  • Step S102 bonding the passive surface of the second wafer to the first rewiring layer and the plurality of first bonding pads.
  • the passive surface 220 of the second wafer 200 and the first rewiring layer 130 can be activated first, and then the silicon fusion bonding method is used to make the second wafer 200 non-volatile.
  • the source plane 220 is connected to the first rewiring layer 130. Since the first rewiring layer 130 is also provided with the exposed first bonding pad 133, the first bonding pad 133 can also be bonded to the passive surface 220 of the second wafer 200. In addition, if the first rewiring layer is also provided with the exposed second bonding pad 134, the second bonding pad 134 can also be bonded to the passive surface 220 of the second wafer 200. Therefore, the connection method of the first wafer 100 and the second wafer 200 in the embodiment of the present application is between silicon fusion bonding and hybrid bonding.
  • step S103 a plurality of through silicon vias are formed by etching on the second wafer, and an end of each through silicon via located on the passive surface of the second wafer is connected to at least one of the plurality of first bonding pads.
  • the projection position of the TSV 233 can be determined on the active surface 210 of the second wafer 200 according to the position of the first bonding pad 133; then, the projection position of the TSV 233 is perpendicular to the second wafer 200
  • the active surface 210 is etched from the determined projection position to the direction of the first bonding pad 133 to form the through silicon hole 233.
  • the etching depth can be controlled to make the bottom of the through silicon hole 233 gradually move toward the first bonding pad.
  • a bonding pad 133 is approached until the first bonding pad 133 is exposed; next, a seed layer connected to the first bonding pad 133 is sputtered on the inner surface of the through silicon hole 233; finally, on the surface of the seed layer Metal plating is performed to prepare a metal filler 235 for electrically interconnecting the first wafer 100 and the second wafer 200.
  • the through-silicon via 233 can be prepared by different processes such as via-first, via-middle, or via-last; among them, the via-first process It means that the through silicon via 233 is prepared before the second rewiring layer of the second wafer 200 is prepared.
  • the middle through hole process refers to the preparation of the through silicon via 233 in the process of preparing the second rewiring layer; the back through hole process refers to After preparing the second rewiring layer, the through silicon via 233 is prepared; the embodiment of the present application does not specifically limit the manufacturing process of the above through silicon via 233.
  • Step S104 preparing a second rewiring layer on the active surface of the second wafer, the second rewiring layer is provided with a plurality of metal pads, and the plurality of metal pads are electrically connected to the metal wiring of the second rewiring layer; One end of the through silicon via located on the active surface of the second wafer is connected to at least one of the plurality of metal pads.
  • the second rewiring layer 230 is composed of a dielectric layer 231 and metal wiring 232.
  • the dielectric layer 231 can be formed by depositing silicon dioxide and other materials on the active surface 210 of the second wafer 200 using a PECVD method; the metal wiring 232 can be prepared together with the metal pad 234, for example, a Damascus process is used on the dielectric layer.
  • the pattern film for the metal wiring 232 and the metal pad 234 is etched on the 231, and then metal filler is used to form the metal wiring 232 and the metal pad 234, or the metal wiring 232 and the metal pad 234 are prepared on the dielectric layer by electroplating.
  • the final prepared metal pad 234 needs to be connected to the metal filler 235 prepared in step S103. So far, the first bonding pad 133 and the metal pad 234 are electrically connected through the metal filler 235 to make the first wafer 100 An electrical interconnection channel is established with the second wafer 200.
  • the second rewiring layer 230 may be provided with solder balls 236, through which the packaged chip can be mounted on an external substrate or printed On the circuit board, the chip is electrically connected to an external substrate or a printed circuit board PCB.
  • the first rewiring layer 130 of the first wafer 100 and the passive surface 220 of the second wafer 200 are directly bonded and connected, so there is no need to prepare additional bonding surfaces on the bonding surface.
  • the dielectric layer or the use of solder balls can reduce the thickness of the first wafer 100 and the second wafer 200 after stacking at least the thickness produced by the additional dielectric layer and solder balls, so that the package size of the chip is smaller. Thinner and lighter.
  • the thermal resistance after the first wafer 100 and the second wafer 200 are stacked is reduced, which facilitates the transfer of heat between the wafers and improves the heat dissipation performance of the chip.
  • first rewiring layer 130 of the first wafer 100 is also provided with a bare first bonding pad 133
  • the second wafer 200 is also provided with a through silicon via 233 connected to the first bonding pad 133, so that the first The first wafer 100 and the second wafer 200 can be directly electrically interconnected through the through silicon vias 233, without the use of solder balls and etched dielectric layers, so the structure is simple, the process steps are simplified, and the connection reliability is high.
  • the passive surface 220 of the second wafer 200 may also be prepared with a first dielectric layer 237.
  • step S102 is specifically implemented as: the first dielectric layer 237 of the second wafer 200 and the rewiring layer of the first wafer 100 130.
  • the first bonding pad 133 and the second bonding pad 134 are bonded and connected.
  • the first dielectric layer 237 can be made of a high thermal conductivity material, such as silicon carbide, diamond, graphene, or silicon nitride, etc., to reduce the thermal resistance between the first wafer 100 and the second wafer 200, and improve the chip package after the chip is packaged. The heat dissipation performance.
  • steps S101 to S104 are used as basic steps to prepare a two-layer chip stack structure. It is easy to understand that the above-mentioned basic steps can also be repeated in whole or in part, or repeated in combination and split to prepare a multi-layer chip stack structure, and these implementations do not exceed the protection scope of the embodiments of the present application. .
  • the embodiment of the present application also provides a computer chip.
  • the computer chip includes, but is not limited to, a central processing unit (CPU), a graphics processing unit (GPU), a system chip on chip, SOC), 3D NAND chips, stacked dynamic random access memory (stacked DRAM), high-bandwidth memory (HBM), etc.
  • the above-mentioned computer chip may include a substrate, and many packages are A multi-layer wafer, wherein part or all of the multi-layer wafer includes the chip stack structure of one of the embodiments of the present application.
  • the embodiment of the application also provides an electronic device, which includes but is not limited to a graphics card (graphics card), a solid-state drive (SSD), a flash drive (USB flash drive), a mobile phone, a personal computer, Servers, workstations, etc.
  • the electronic device includes at least one printed circuit board PCB, and at least one computer chip arranged on the at least one printed circuit board, wherein part or all of the at least one computer chip includes the chip of one of the embodiments of the present application Stacked structure.

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A chip stack structure and a manufacturing method therefor, the chip stack structure comprising a first die (100) and a second die (200), a first re-wiring layer (130) of the first die being provided with an exposed first bonding pad (133), the first re-wiring layer (130) and the first bonding pad (133) of the first die (100) being directly bonded to a passive plane (220) of the second die (200) without the need to prepare an additional dielectric layer on the bonding surface, reducing the thickness of the first die (100) and second die (200) after stacking such that the size of the chip after encapsulation is smaller, lighter, and thinner. The thermal resistance of the first die (100) and second die (200) after direct stacking is lower, improving the heat dissipation performance of the chip. In addition, the second die (200) is also provided with a through-silicon via (233) connected to the first bonding pad (133), enabling the first die (100) and the second die (200) to be directly electrically interconnected by means of the through-silicon via, the reliability of the connection being strong. The provided method for manufacturing the chip stack structure has simple processing steps and has no etching selectivity ratio problem, greatly increasing the achievability.

Description

一种芯片堆叠结构及其制作方法Chip stack structure and manufacturing method thereof 技术领域Technical field
本申请涉及芯片封装技术领域,尤其涉及一种芯片堆叠结构及其制作方法。This application relates to the technical field of chip packaging, and in particular to a chip stacking structure and a manufacturing method thereof.
背景技术Background technique
芯片封装,也称集成电路封装,是将生产出来的晶圆Die放在一块起到承载作用的基板上,把管脚引出来,然后固定包装成为一个整体的过程。其中,三维芯片(three-dimensional integrated circuit,3D IC)封装技术是将多层晶圆进行三维空间的垂直堆叠,形成一个单一整体的封装技术,3D IC封装技术能够应对半导体制程受到电子及材料的物理极限制约的问题,节省芯片空间。Chip packaging, also known as integrated circuit packaging, is a process of placing the produced wafer Die on a substrate that plays a role of bearing, leading out the pins, and then fixing the package as a whole. Among them, the three-dimensional integrated circuit (3D IC) packaging technology is the vertical stacking of multi-layer wafers in three-dimensional space to form a single integrated packaging technology. 3D IC packaging technology can cope with the electronic and material impact of the semiconductor manufacturing process. The problem of physical limitations saves chip space.
目前,在3D IC封装技术中,实现芯片的垂直堆叠一般需要在两个晶圆的相互接触的表面额外制备介电层,通过介电层的硅熔键合将两个晶圆整合在一起,然后制备穿过晶圆和介电层的硅通孔将两个晶圆电气连接。一般来说,每个晶圆额外制备的用于键合的介电层的厚度可以达到1微米~5微米,因此,每两层晶圆的堆叠就会使芯片的厚度额外增加2微米~10微米,当晶圆堆叠的层数增加时,芯片额外增加的厚度也会随之增加,最终使得芯片的封装尺寸变大。At present, in 3D IC packaging technology, the vertical stacking of chips generally requires an additional dielectric layer to be prepared on the surfaces of the two wafers that are in contact with each other, and the two wafers are integrated through the silicon fusion bonding of the dielectric layer. Then, through silicon vias through the wafer and the dielectric layer are prepared to electrically connect the two wafers. Generally speaking, the thickness of the dielectric layer for bonding additionally prepared for each wafer can reach 1 micron to 5 microns. Therefore, the stacking of two layers of wafers will increase the thickness of the chip by an additional 2 microns to 10 microns. Micron, when the number of layers of the wafer stack increases, the additional thickness of the chip will also increase, and finally the package size of the chip will become larger.
发明内容Summary of the invention
本申请提供了一种芯片堆叠结构及其制作方法,能够降低芯片的堆叠厚度、提高晶圆电连接可靠性和散热性能,并且制作工艺简单。The present application provides a chip stack structure and a manufacturing method thereof, which can reduce the stack thickness of the chip, improve the reliability of the electrical connection of the wafer and the heat dissipation performance, and the manufacturing process is simple.
为达到上述目的,本申请可以采用如下技术方案:In order to achieve the above objectives, the following technical solutions can be adopted in this application:
第一方面,本申请提供了一种芯片堆叠结构,该结构包括:第一晶圆,第一晶圆的有源面设置有第一再布线层;多个第一键合盘,多个第一键合盘裸露于第一再布线层的平行于第一晶圆的表面,多个第一键合盘与第一再布线层的金属布线电连接;第二晶圆,第二晶圆与第一晶圆堆叠设置,第二晶圆的无源面与第一再布线层和多个第一键合盘键合连接;第二晶圆设置有多个硅通孔,每个硅通孔的位于第二晶圆的无源面的一端与多个第一键合盘中的至少一个连接。In a first aspect, the present application provides a chip stack structure, which includes: a first wafer, the active surface of the first wafer is provided with a first rewiring layer; a plurality of first bonding pads, a plurality of second A bonding pad is exposed on the surface of the first rewiring layer parallel to the first wafer, a plurality of first bonding pads are electrically connected to the metal wiring of the first rewiring layer; the second wafer, the second wafer and The first wafer is stacked, and the passive surface of the second wafer is bonded to the first rewiring layer and a plurality of first bonding pads; the second wafer is provided with a plurality of through silicon vias, each through silicon via One end of the passive surface of the second wafer is connected to at least one of the plurality of first bonding pads.
本申请提供的芯片堆叠结构,包括第一晶圆和第二晶圆,第一晶圆的第一再布线层设置有裸露的第一键合盘,第一晶圆的第一再布线层和第一键合盘与第二晶圆的无源面直接键合连接,不需要在键合表面制备额外的介电层,减小了第一晶圆和第二晶圆堆叠之后的厚度,使芯片封装后的尺寸更小,更轻薄。The chip stack structure provided by the present application includes a first wafer and a second wafer. The first rewiring layer of the first wafer is provided with a bare first bonding pad, the first rewiring layer of the first wafer and The first bonding pad and the passive surface of the second wafer are directly bonded and connected, without the need to prepare an additional dielectric layer on the bonding surface, which reduces the thickness of the first wafer and the second wafer after stacking, so that After the chip is packaged, the size is smaller, lighter and thinner.
结合第一方面,在一种可能的设计方式中,该结构还包括:多个第二键合盘,多个第二键合盘裸露于第一再布线层的平行于第一晶圆的表面,多个第二键合盘与第二晶圆的无源面键合连接,多个第二键合盘与第一再布线层的金属布线电连接。根据上述结构,第一晶圆的第一再布线层和第一键合盘与第二晶圆的无源面直接键合连接,使得第一晶圆和第二晶圆之间的热阻更小,热量可以直接在第一晶圆和第二晶圆之间传递;并且,第二键合盘在本申请中还可以作为晶圆之间的导热结构,能够进一步降 低晶圆之间的热阻,提高热量在晶圆之间的传递效率,改善芯片封装之后的散热性能。With reference to the first aspect, in a possible design manner, the structure further includes: a plurality of second bonding pads, the plurality of second bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer , The plurality of second bonding pads are bonded to the passive surface of the second wafer, and the plurality of second bonding pads are electrically connected to the metal wiring of the first rewiring layer. According to the above structure, the first rewiring layer and the first bonding pad of the first wafer are directly bonded to the passive surface of the second wafer, so that the thermal resistance between the first wafer and the second wafer is increased. Small, heat can be directly transferred between the first wafer and the second wafer; and the second bonding pad can also be used as a heat conduction structure between wafers in this application, which can further reduce the heat between the wafers. It can improve the heat transfer efficiency between wafers and improve the heat dissipation performance after chip packaging.
结合第一方面,在一种可能的设计方式中,第二晶圆的有源面设置有第二再布线层,第二再布线层设置有多个金属垫盘,多个金属垫盘与第二再布线层的金属布线电连接;每个硅通孔的位于第二晶圆的有源面的一端与多个金属垫盘中的至少一个连接。由此,硅通孔直接与第一键合盘和金属垫盘连接,不需要穿越介电层,避免了刻蚀选择比的问题,提高了第一晶圆和第二晶圆的电气连接可靠性。In combination with the first aspect, in a possible design, the active surface of the second wafer is provided with a second rewiring layer, and the second rewiring layer is provided with a plurality of metal pads, a plurality of metal pads and a second rewiring layer. The metal wiring of the secondary wiring layer is electrically connected; one end of each silicon through hole located on the active surface of the second wafer is connected to at least one of the plurality of metal pads. As a result, the through silicon via is directly connected to the first bonding pad and the metal pad without passing through the dielectric layer, avoiding the problem of etching selection ratio, and improving the reliability of the electrical connection between the first wafer and the second wafer Sex.
结合第一方面,在一种可能的设计方式中,每个硅通孔内填充有金属填料,金属填料用于将其连接的第一键合盘与金属垫盘电连接。In combination with the first aspect, in a possible design manner, each through silicon hole is filled with a metal filler, and the metal filler is used to electrically connect the first bonding pad to which it is connected to the metal pad.
结合第一方面,在一种可能的设计方式中,金属填料是通过在硅通孔内表面溅射形成与第一键合盘连接的晶种层,以及在晶种层表面进行金属电镀得到的。In combination with the first aspect, in a possible design method, the metal filler is obtained by sputtering on the inner surface of the through silicon hole to form a seed layer connected to the first bonding pad, and performing metal electroplating on the surface of the seed layer .
结合第一方面,在一种可能的设计方式中,第二晶圆的无源面设置有第一介电层,第二晶圆的无源面通过第一介电层与第一再布线层、多个第一键合盘和多个第二键合盘键合连接。第一介电层可使用高导热材料制备,例如碳化硅、金刚石、石墨烯或氮化硅等,以降低第一晶圆和第二晶圆之间的热阻,提高芯片封装之后的散热性能。With reference to the first aspect, in a possible design, the passive surface of the second wafer is provided with a first dielectric layer, and the passive surface of the second wafer passes through the first dielectric layer and the first rewiring layer , The plurality of first bonding pads and the plurality of second bonding pads are connected by bonding. The first dielectric layer can be made of high thermal conductivity materials, such as silicon carbide, diamond, graphene or silicon nitride, etc., to reduce the thermal resistance between the first wafer and the second wafer, and improve the heat dissipation performance after the chip is packaged .
第二方面,本申请提供了一种芯片堆叠结构的制作方法,该方法包括:在第一晶圆的有源面制备第一再布线层和多个第一键合盘,多个第一键合盘裸露设置于第一再布线层的平行于第一晶圆的表面,多个第一键合盘与第一再布线层的金属布线电连接;将第二晶圆的无源面与第一再布线层和多个第一键合盘键合连接;在第二晶圆刻蚀形成多个硅通孔,每个硅通孔的位于第二晶圆的无源面的一端与多个第一键合盘中的至少一个连接。In a second aspect, the present application provides a method for manufacturing a chip stack structure. The method includes: preparing a first rewiring layer and a plurality of first bonding pads on an active surface of a first wafer, and a plurality of first bonds The bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer, and a plurality of first bonding pads are electrically connected with the metal wiring of the first rewiring layer; the passive surface of the second wafer is connected to the first rewiring layer. The repeated wiring layer is bonded and connected to a plurality of first bonding pads; a plurality of through silicon vias are formed by etching on the second wafer, and one end of each through silicon via located on the passive surface of the second wafer is connected to a plurality of At least one of the first bonding pads is connected.
本申请提供的芯片堆叠结构的制作方法,将第一晶圆的第一再布线层和第二晶圆的无源面直接键合连接,因此不需要在键合表面制备额外的介电层或者使用焊球,能够使第一晶圆和第二晶圆堆叠之后的厚度至少缩小上述额外的介电层的厚度,使芯片封装后的尺寸更小,更轻薄。The manufacturing method of the chip stack structure provided in the present application directly bonds and connects the first rewiring layer of the first wafer and the passive surface of the second wafer, so there is no need to prepare an additional dielectric layer on the bonding surface or The use of solder balls can reduce the thickness of the first wafer and the second wafer after stacking at least by the thickness of the additional dielectric layer, so that the size of the chip after packaging is smaller, lighter and thinner.
结合第二方面,在一种可能的设计方式中,在第一晶圆的有源面制备第一再布线层和多个第一键合盘,包括:在第一晶圆的有源面制备大于预设目标厚度的第一再布线层,以及隐藏在第一再布线层内的多个第一键合盘;通过去除材料加工,将第一再布线层减薄至目标厚度,使多个第一键合盘裸露于第一再布线层的平行于第一晶圆的表面。由于本申请中的第一键合盘不需要与焊球连接,因此在去除材料过程中,不需要在工艺上精确控制dish的深度,只要保留膨胀余量即可,由此提高了工艺控制的可行性,使得生产成本得到降低。With reference to the second aspect, in a possible design method, preparing the first rewiring layer and the plurality of first bonding pads on the active surface of the first wafer includes: preparing the active surface of the first wafer The first rewiring layer whose thickness is greater than the preset target thickness, and the multiple first bonding pads hidden in the first rewiring layer; through material removal processing, the first rewiring layer is thinned to the target thickness to make multiple The first bonding pad is exposed on the surface of the first rewiring layer parallel to the first wafer. Since the first bonding pad in this application does not need to be connected to the solder balls, there is no need to precisely control the depth of the dish in the process of removing material, as long as the expansion margin is retained, thereby improving the process control Feasibility, so that production costs are reduced.
结合第二方面,在一种可能的设计方式中,该方法还包括:在第一晶圆的有源面制备隐藏在第一再布线层内的多个第二键合盘,当第一再布线层减薄至目标厚度时,多个第二键合盘裸露于第一再布线层表面。根据上述方法,第一晶圆的第一再布线层和第一键合盘与第二晶圆的无源面直接键合连接,使得第一晶圆和第二晶圆之间的热阻更小,热量可以直接在第一晶圆和第二晶圆之间传递;并且,第二键合盘在本申请中还可以作为晶圆之间的导热结构,能够进一步降低晶圆之间的热阻,提高热量在晶圆之间的传递效率,改善芯片封装之后的散热性能。With reference to the second aspect, in a possible design manner, the method further includes: preparing a plurality of second bonding pads hidden in the first rewiring layer on the active surface of the first wafer; When the wiring layer is thinned to the target thickness, the plurality of second bonding pads are exposed on the surface of the first rewiring layer. According to the above method, the first rewiring layer and the first bonding pad of the first wafer are directly bonded to the passive surface of the second wafer, so that the thermal resistance between the first wafer and the second wafer is improved. Small, heat can be directly transferred between the first wafer and the second wafer; and the second bonding pad can also be used as a heat conduction structure between wafers in this application, which can further reduce the heat between the wafers. It can improve the heat transfer efficiency between wafers and improve the heat dissipation performance after chip packaging.
结合第二方面,在一种可能的设计方式中,在第二晶圆刻蚀形成多个硅通孔,每个硅通孔的位于第二晶圆的无源面的一端与多个第一键合盘中的至少一个连接,包括:在第二晶圆的有源面确定至少一个第一键合盘的投影位置;垂直于第二晶圆的有源面,从投影位置开始向第一键合盘方向刻蚀形成硅通孔,直至硅通孔与至少一个第一键合 盘相接触。由此,本申请提供的方法,在刻蚀硅通孔的过程中不会产生额外的介电质刻蚀,只刻蚀晶圆体硅,不需要针对不同材料去选择刻蚀选择比,避免了因为刻蚀选择比不合适而在介电层形成鳍型刻蚀形貌和鼓型刻蚀形貌的问题,使得晶种层和金属填料的连续性得到保证,提高第一晶圆和第二晶圆之间的连接可靠性。In combination with the second aspect, in a possible design method, a plurality of through silicon vias are formed in the second wafer by etching, and an end of each through silicon via located on the passive surface of the second wafer is connected with the plurality of first through silicon vias. At least one connection in the bonding pad includes: determining the projection position of at least one first bonding pad on the active surface of the second wafer; perpendicular to the active surface of the second wafer, starting from the projection position to the first The through silicon hole is formed by etching in the direction of the bonding pad until the through silicon hole is in contact with the at least one first bonding pad. Therefore, the method provided by the present application does not generate additional dielectric etching during the process of etching through silicon vias, and only etches the silicon of the wafer body, and does not need to select the etching selection ratio for different materials, and avoids The problem of the formation of fin-shaped etching morphology and drum-shaped etching morphology in the dielectric layer due to the inappropriate etching selection ratio, so that the continuity of the seed layer and the metal filler is ensured, and the first wafer and the first wafer are improved. The reliability of the connection between the two wafers.
结合第二方面,在一种可能的设计方式中,该方法还包括:在第二晶圆的有源面制备第二再布线层,第二再布线层设置有多个金属垫盘,多个金属垫盘与第二再布线层的金属布线电连接;每个硅通孔的位于第二晶圆的有源面的一端与多个金属垫盘中的至少一个连接。由此,硅通孔直接与第一键合盘和金属垫盘连接,不需要穿越介电层,提高了第一晶圆和第二晶圆的电气连接可靠性。With reference to the second aspect, in a possible design manner, the method further includes: preparing a second rewiring layer on the active surface of the second wafer, and the second rewiring layer is provided with a plurality of metal pads, and The metal pads are electrically connected to the metal wiring of the second rewiring layer; one end of each through silicon via located on the active surface of the second wafer is connected to at least one of the plurality of metal pads. Therefore, the through silicon via is directly connected to the first bonding pad and the metal pad without passing through the dielectric layer, which improves the electrical connection reliability of the first wafer and the second wafer.
结合第二方面,在一种可能的设计方式中,该方法还包括:在硅通孔内表面通过表面溅射形成与第一键合盘连接的晶种层;在晶种层表面进行金属电镀形成金属填料,金属填料将第一键合盘与金属垫盘电连接。根据上述方法,硅通孔在刻蚀时不需要穿过介电层,不会由于刻蚀选择比的问题而产生鳍型刻蚀形貌和鼓型刻蚀形貌,因此,硅通孔内表面的晶种层能够具有很好的覆盖连续性,使得金属填料能够连续充分地填充到硅通孔内,保证金属填料能够使第一晶圆和第二晶圆形成可靠地电连接。With reference to the second aspect, in a possible design manner, the method further includes: forming a seed layer connected to the first bonding pad by surface sputtering on the inner surface of the through silicon hole; and performing metal plating on the surface of the seed layer A metal filler is formed, and the metal filler electrically connects the first bonding pad with the metal pad. According to the above method, the TSV does not need to pass through the dielectric layer during etching, and the fin-shaped etching morphology and the drum-shaped etching morphology will not be generated due to the problem of the etching selection ratio. Therefore, the through-silicon hole does not need to pass through the dielectric layer. The seed layer on the surface can have good coverage continuity, so that the metal filler can be continuously and fully filled into the through silicon hole, and the metal filler can ensure that the first wafer and the second wafer can form a reliable electrical connection.
结合第二方面,在一种可能的设计方式中,将第二晶圆的无源面与第一再布线层和多个第一键合盘键合连接,包括:在第二晶圆的无源面制备第一介电层;将第一介电层与第一再布线层、第一键合盘和第二键合盘键合连接。第一介电层可使用高导热材料制备,例如碳化硅、金刚石、石墨烯或氮化硅等。以降低第一晶圆和第二晶圆之间的热阻,提高芯片封装之后的散热性能。In combination with the second aspect, in a possible design method, bonding the passive surface of the second wafer to the first rewiring layer and multiple first bonding pads includes: A first dielectric layer is prepared on the source surface; the first dielectric layer is bonded and connected with the first rewiring layer, the first bonding pad and the second bonding pad. The first dielectric layer can be made of a material with high thermal conductivity, such as silicon carbide, diamond, graphene, or silicon nitride. In order to reduce the thermal resistance between the first wafer and the second wafer, the heat dissipation performance after the chip packaging is improved.
第三方面,本申请提供了一种电子设备,该电子设备包括印刷电路板(printed circuit board,PCB),以及设置在印刷电路板的至少一个计算机芯片,其中,上述至少一个计算机芯片中的部分或者全部具有如上述第一方面及其任意设计方式中的芯片堆叠结构。In a third aspect, the present application provides an electronic device that includes a printed circuit board (PCB) and at least one computer chip provided on the printed circuit board, wherein part of the at least one computer chip is Or all have the chip stack structure as in the above-mentioned first aspect and any design manner thereof.
附图说明Description of the drawings
图1是一种芯片堆叠结构的示意图;Figure 1 is a schematic diagram of a chip stacking structure;
图2鳍型刻蚀形貌和鼓型刻蚀形貌的示意图;Figure 2 Schematic diagram of fin-type etching morphology and drum-type etching morphology;
图3是另一种芯片堆叠结构的示意图;Figure 3 is a schematic diagram of another chip stacking structure;
图4是本申请实施例一提供的芯片堆叠结构的分解图;4 is an exploded view of the chip stack structure provided by Embodiment 1 of the present application;
图5是第一晶圆和第二晶圆堆叠状态的剖面视图;5 is a cross-sectional view of the stacked state of the first wafer and the second wafer;
图6是本申请实施例一提供的另一种芯片堆叠结构的分解图;FIG. 6 is an exploded view of another chip stack structure provided by Embodiment 1 of the present application;
图7是第一晶圆和第二晶圆堆叠状态的剖面视图;FIG. 7 is a cross-sectional view of the stacked state of the first wafer and the second wafer;
图8是本申请实施例一提供的另一种芯片堆叠结构的分解图;FIG. 8 is an exploded view of another chip stack structure provided by Embodiment 1 of the present application;
图9是本申请实施例示出的一种多层芯片堆叠结构的分解图;FIG. 9 is an exploded view of a multi-layer chip stack structure shown in an embodiment of the present application;
图10是本申请实施例示出的一种多层芯片堆叠结构的剖视图;10 is a cross-sectional view of a multi-layer chip stack structure shown in an embodiment of the present application;
图11是本申请实施例示出的一种集成芯片的结构示意图;FIG. 11 is a schematic structural diagram of an integrated chip shown in an embodiment of the present application;
图12是本申请实施例提供的一种芯片堆叠结构的制作方法的步骤S101的示意图;FIG. 12 is a schematic diagram of step S101 of a manufacturing method of a chip stack structure provided by an embodiment of the present application; FIG.
图13是本申请实施例提供的一种芯片堆叠结构的制作方法的步骤S101的示意图;FIG. 13 is a schematic diagram of step S101 of a method for manufacturing a chip stack structure according to an embodiment of the present application;
图14是本申请实施例提供的一种芯片堆叠结构的制作方法的步骤S102的示意图;FIG. 14 is a schematic diagram of step S102 of a method for manufacturing a chip stack structure according to an embodiment of the present application;
图15是本申请实施例提供的一种芯片堆叠结构的制作方法的步骤S103的示意图;15 is a schematic diagram of step S103 of a method for manufacturing a chip stack structure provided by an embodiment of the present application;
图16是本申请实施例提供的一种芯片堆叠结构的制作方法的步骤S104的示意图;FIG. 16 is a schematic diagram of step S104 of a method for manufacturing a chip stack structure provided by an embodiment of the present application; FIG.
图17是本申请实施例提供的一种芯片堆叠结构的制作方法的步骤S102的示意图。FIG. 17 is a schematic diagram of step S102 of a method for manufacturing a chip stack structure provided by an embodiment of the present application.
具体实施方式Detailed ways
以下,术语“第一”、“第二”仅用于描述目的,而不能理解为指示或暗示相对重要性或者隐含指明所指示的技术特征的数量。由此,限定有“第一”、“第二”的特征可以明示或者隐含地包括一个或者更多个该特征。在本实施例的描述中,除非另有说明,“多个”的含义是两个或两个以上。Hereinafter, the terms "first" and "second" are only used for descriptive purposes, and cannot be understood as indicating or implying relative importance or implicitly indicating the number of indicated technical features. Thus, the features defined with "first" and "second" may explicitly or implicitly include one or more of these features. In the description of the present embodiment, unless otherwise specified, "plurality" means two or more.
芯片是各类电子设备中的重要元件,电子设备的产品性能很大程度上取决于芯片的性能。为了适应图形计算、神经网络(neural network,NN)、人工智能(artificial intelligence,AI)、云计算(cloud computing)、高性能计算集群(high-performance computing cluster,HPCC)等技术的迅速发展,近年来,芯片的工艺制程不断提高、晶体管数量不断增加,芯片的性能也因此不断增强,运行功耗也相应提高。然而,由于电子设备呈现出高性能、多功能、高可靠性、高集成度、小型化、轻薄化的发展趋势,电子设备的集成度越来越高,机身内的空间显得越来越寸土寸金,这使得各类芯片需要在具有高性能的同时,还能有拥有较小的体积,这样的需求对芯片封装提出了挑战。Chips are important components in various electronic devices, and the product performance of electronic devices largely depends on the performance of the chip. In order to adapt to the rapid development of graphics computing, neural network (NN), artificial intelligence (AI), cloud computing, high-performance computing cluster (HPCC) and other technologies, in recent years In the past, the process of the chip has been continuously improved, and the number of transistors has been continuously increased. Therefore, the performance of the chip has been continuously enhanced, and the operating power consumption has also increased accordingly. However, due to the development trend of high-performance, multi-function, high reliability, high integration, miniaturization, and thinning of electronic equipment, the integration of electronic equipment is getting higher and higher, and the space in the fuselage becomes more and more inches. Inch gold, which makes all kinds of chips need to have high performance while also having a smaller volume. This demand poses a challenge to chip packaging.
芯片封装,也称集成电路封装,是将生产出来的晶圆Die放在一块起到承载作用的基板上,把管脚引出来,然后固定包装成为一个整体的过程。本申请实施例中,晶圆也可以称作裸晶、裸芯片或裸片,是以半导体材料制作而成的未经封装的一小块集成电路本体,该集成电路的既定功能就是在这一小片半导体上实现。目前,为了提高芯片的集成度,减小芯片体积,一些比较先进的芯片封装方式被提出,其中,三维芯片(three-dimensional integrated circuit,3D IC)封装技术是将多层晶圆进行三维空间的垂直整合,形成一个单一整体的封装技术,3D IC封装技术能够应对半导体制程受到电子及材料的物理极限制约的问题,节省芯片空间。Chip packaging, also known as integrated circuit packaging, is a process of placing the produced wafer Die on a substrate that plays a role of bearing, leading out the pins, and then fixing the package as a whole. In the embodiments of this application, a wafer may also be called a bare die, a bare chip, or a bare chip. It is a small unpackaged integrated circuit body made of semiconductor materials. The established function of the integrated circuit is in this Realized on a small piece of semiconductor. At present, in order to improve chip integration and reduce chip volume, some more advanced chip packaging methods have been proposed. Among them, three-dimensional integrated circuit (3D IC) packaging technology uses multi-layer wafers in three-dimensional space. Vertical integration, forming a single integrated packaging technology, 3D IC packaging technology can cope with the problem that the semiconductor manufacturing process is restricted by the physical limits of electronics and materials, and save chip space.
在3D IC封装技术中,垂直布置的多层晶圆Die之间可以首先通过硅熔键合(fusion bonding,FB)等方式形成堆叠结构,然后,可以通过引线键合(wire bond,WB)或者硅通孔(through silicon via,TSV)等技术实现不同层晶圆之间的电气互联,最后,对上述堆叠结构进行塑封,即可得到封装完成的芯片。In 3D IC packaging technology, the vertically arranged multi-layer wafer Die can first form a stacked structure by means of fusion bonding (FB), etc., and then can be formed by wire bonding (WB) or Through silicon via (TSV) and other technologies realize electrical interconnection between different layers of wafers. Finally, the above-mentioned stacked structure is plastic-encapsulated to obtain a packaged chip.
其中,硅熔键合是3D IC封装技术可应用的一种低成本的3D堆叠方式,硅熔键合工艺简单、生产速度快,能够得到寄生电容小、集成密度高、短沟道效应小的3D堆叠结构,特别适用于低压低功耗电路的SOI(silicon on insulator,绝缘衬底上的硅)结构。Among them, silicon fusion bonding is a low-cost 3D stacking method applicable to 3D IC packaging technology. The silicon fusion bonding process is simple, the production speed is fast, and the parasitic capacitance is small, the integration density is high, and the short channel effect is small. The 3D stacked structure is particularly suitable for the SOI (silicon on insulator, silicon on insulating substrate) structure of low-voltage and low-power circuits.
其中,硅通孔也称硅穿孔,是一种穿透硅晶圆的器件层的垂直电连接技术。具体的说,硅通孔就是连通晶圆上下两边的通孔,在通孔中灌注导体(即:通孔金属),形成导电的连线。灌注的导体可以根据其具体工艺来确定,如导电材料铜、钨以及多晶硅,并用绝缘层(常为二氧化硅)将硅通孔导电材料与基底隔离开。Among them, TSV is also called TSV, which is a vertical electrical connection technology that penetrates the device layer of the silicon wafer. Specifically, through-silicon vias are through-holes that connect the upper and lower sides of the wafer, and conductors (that is, through-hole metal) are poured into the through-holes to form conductive connections. The infused conductor can be determined according to its specific process, such as conductive materials such as copper, tungsten, and polysilicon, and an insulating layer (usually silicon dioxide) is used to isolate the through-silicon via conductive material from the substrate.
图1是一种芯片堆叠结构的示意图。如图1所示,该芯片堆叠结构包括相互堆叠的上层晶圆10和下层晶圆20。其中,上层晶圆10的有源面(图1中的上层晶圆10的上表面)和下层晶圆20的有源面(图1中的下层晶圆20的上表面)分别制备有再布线层11和21。上层晶圆10的无源面(图1中上层晶圆10的下表面)和下层晶圆20的再布线层21的表面分别制备额外的介电层12和22,上层晶圆10和下层晶圆20通 过介电层12和22的硅熔键合在一起,实现堆叠。此外,该堆叠结构还包括穿透上层晶圆10体硅和介电层12和22的硅通孔30,使得上层晶圆10和下层晶圆20实现电气互连。上层晶圆10的再布线层设置有焊球13,该焊球13用于与外部的基板或者印刷电路板PCB形成电气连接。Figure 1 is a schematic diagram of a chip stacking structure. As shown in FIG. 1, the chip stack structure includes an upper wafer 10 and a lower wafer 20 stacked on each other. Among them, the active surface of the upper wafer 10 (the upper surface of the upper wafer 10 in FIG. 1) and the active surface of the lower wafer 20 (the upper surface of the lower wafer 20 in FIG. 1) are respectively prepared with rewiring Layers 11 and 21. The passive surface of the upper wafer 10 (the lower surface of the upper wafer 10 in FIG. 1) and the surface of the rewiring layer 21 of the lower wafer 20 are respectively prepared with additional dielectric layers 12 and 22, the upper wafer 10 and the lower crystal The circle 20 is bonded together by the silicon fusion of the dielectric layers 12 and 22 to achieve stacking. In addition, the stacked structure also includes through-silicon vias 30 penetrating the bulk silicon of the upper wafer 10 and the dielectric layers 12 and 22, so that the upper wafer 10 and the lower wafer 20 are electrically interconnected. The rewiring layer of the upper wafer 10 is provided with solder balls 13 which are used to form an electrical connection with an external substrate or a printed circuit board PCB.
此处需要补充说明的是,晶圆的有源面(active surface),指的是晶圆的具有有源元件(active device)的表面,晶圆的不具有有源元件(active device)的表面,则称为无源面,例如图1中的上层晶圆10的下表面和下层晶圆20的下表面均属于无源面;再布线层(redistribution layer,RDL),是指在晶圆表面通过沉积金属层和介电层的方式形成的金属布线图形,以实现对芯片的I/O端口进行重新布局。再布线层通常沉积于晶圆的有源面,例如在图1所示的结构中,下层晶圆20的再布线层21沉积在下层晶圆20的有源面,上层晶圆10的再布线层11沉积在上层晶圆10的有源面。What needs to be added here is that the active surface of the wafer refers to the surface of the wafer that has active devices, and the surface of the wafer that does not have active devices. , It is called a passive surface. For example, the lower surface of the upper wafer 10 and the lower surface of the lower wafer 20 in FIG. 1 are both passive surfaces; the redistribution layer (RDL) refers to the surface of the wafer The metal wiring pattern is formed by depositing the metal layer and the dielectric layer to realize the re-layout of the I/O ports of the chip. The rewiring layer is usually deposited on the active surface of the wafer. For example, in the structure shown in FIG. 1, the rewiring layer 21 of the lower wafer 20 is deposited on the active surface of the lower wafer 20, and the rewiring of the upper wafer 10 is The layer 11 is deposited on the active surface of the upper wafer 10.
图1所示的结构为了实现上层晶圆10和下层晶圆20的硅熔键合,在上层晶圆10的无源面和下层晶圆20的再布线层21额外制备了介电层12和22,该介电层12和22的厚度目前可以达到1微米~5微米,会增加堆叠后芯片的厚度,尤其是当芯片的晶圆堆叠层数较多时,该介电层12和22对厚度的增加更加明显,例如:目前3D NAND闪存的晶圆堆叠层数可以达到96层甚至128层,导致介电层带来的厚度增加非常明显,十分不利于芯片的轻薄。并且,由于硅熔键合需要满足一定的表面平面度和粗糙度要求,在对介电层进行硅熔键合之前,还需要对介电层表面进行精确地化学机械抛光(chemical mechanical polis,CMP),增加了额外的工艺步骤和成本,降低了封装效率。In order to realize the silicon fusion bonding of the upper wafer 10 and the lower wafer 20, the structure shown in FIG. 1 additionally prepares a dielectric layer 12 and a rewiring layer 21 on the passive surface of the upper wafer 10 and the rewiring layer 21 of the lower wafer 20 22. The thickness of the dielectric layers 12 and 22 can currently reach 1 micrometer to 5 micrometers, which will increase the thickness of the stacked chip, especially when the number of stacked wafer layers of the chip is large, the thickness of the dielectric layers 12 and 22 For example, the number of stacked layers of 3D NAND flash memory wafers can reach 96 or even 128 layers, resulting in a very significant increase in the thickness of the dielectric layer, which is not conducive to the lightness and thinness of the chip. In addition, since silicon fusion bonding needs to meet certain surface flatness and roughness requirements, before silicon fusion bonding is performed on the dielectric layer, the surface of the dielectric layer needs to be accurately chemically mechanically polished (chemical mechanical polis, CMP). ), additional process steps and costs are added, and the packaging efficiency is reduced.
另外,由于图1所示结构的硅通孔30穿越了上层晶圆10体硅和介电层12和22,因此在制备硅通孔30时,不仅需要对上层晶圆10体硅进行刻蚀,还需要对介电层12和22进行刻蚀。一般来说,如果只刻蚀晶圆体硅,可以使用Bosch工艺;其中,Bosch工艺即深反应离子刻蚀(deep reactive ion etching,DRIE),是一种基于氟基气体的高深宽比硅刻蚀技术,它通过交替转换刻蚀气体与钝化气体,使得反应离子刻蚀过程不断在刻蚀孔的边壁上沉积抗刻蚀层或边壁钝化层。Bosch工艺的刻蚀气体为六氟化硫SF 6,钝化气体为八氟环丁烷C 4F 8。C 4F 8在等离子体中能够形成氟化碳类高分子聚合物,该高分子聚合物能够沉积在硅表面能够阻止氟离子与硅的反应。但是,深反应离子刻蚀采用的气体只对硅有刻蚀或钝化作用,而介电层一般为氧化物或氮化物,因此,如果要刻蚀晶圆体硅和介电层,就不能使用Bosch工艺,只能使用反应离子刻蚀(reactive ion etching,RIE)。然而,由于很难针对晶圆体硅和介电层选择出一个合适的刻蚀选择比,因此即使采用了反应离子刻蚀工艺,也会因为刻蚀选择比不合适而在介电层形成如图2所示的鳍型(Fin)刻蚀形貌和鼓型刻蚀形貌。鳍型刻蚀形貌和鼓型刻蚀形貌会导致刻蚀孔的侧壁形状起伏较大,影响硅通孔制备过程中的晶种层(seed layer)的覆盖连续性,不连续覆盖的晶种层会导致后续的通孔金属(core metal)填充失败,造成堆叠后的晶圆之间的电气互连失效。 In addition, since the TSV 30 of the structure shown in FIG. 1 passes through the bulk silicon of the upper wafer 10 and the dielectric layers 12 and 22, it is not only necessary to etch the bulk silicon of the upper wafer 10 when preparing the TSV 30. , The dielectric layers 12 and 22 need to be etched. Generally speaking, if only the wafer body silicon is etched, the Bosch process can be used; among them, the Bosch process is deep reactive ion etching (DRIE), which is a high aspect ratio silicon etching based on fluorine-based gas. Etching technology, which alternately converts etching gas and passivation gas, so that the reactive ion etching process continuously deposits an anti-etching layer or a side wall passivation layer on the side wall of the etching hole. The etching gas of the Bosch process is sulfur hexafluoride SF 6 , and the passivation gas is octafluorocyclobutane C 4 F 8 . C 4 F 8 can form fluorinated carbon polymer in the plasma, which can be deposited on the silicon surface to prevent the reaction of fluoride ions with silicon. However, the gas used in deep reactive ion etching can only etch or passivate silicon, while the dielectric layer is generally oxide or nitride. Therefore, if you want to etch the silicon and the dielectric layer of the wafer body, you cannot With the Bosch process, only reactive ion etching (RIE) can be used. However, because it is difficult to select a suitable etching selection ratio for the wafer body silicon and the dielectric layer, even if the reactive ion etching process is used, the etching selection ratio will not be suitable and the dielectric layer will be formed such as Fig. 2 shows the fin-type (Fin) etching morphology and the drum-type etching morphology. Fin-type etching morphology and drum-type etching morphology will cause the sidewall shape of the etched hole to fluctuate greatly, which affects the coverage continuity of the seed layer during the preparation process of the through silicon via, and discontinuous coverage The seed layer will cause subsequent core metal filling failures, resulting in failure of electrical interconnections between stacked wafers.
并且,图1所示的芯片堆叠结构需要在上层晶圆10和下层晶圆20完整地覆盖介电层12和22,使得上层晶圆10和下层晶圆20具有较大的热阻,阻碍了热量的传递,而硅通孔30仅分布在晶圆的一小部分区域,对晶圆的散热作用十分有限,因此,图1 的结构还可能导致芯片存在散热问题,影响芯片性能。Moreover, the chip stack structure shown in FIG. 1 needs to completely cover the dielectric layers 12 and 22 on the upper wafer 10 and the lower wafer 20, so that the upper wafer 10 and the lower wafer 20 have a larger thermal resistance, which hinders The through-silicon vias 30 are only distributed in a small area of the wafer, and the heat dissipation effect of the wafer is very limited. Therefore, the structure of FIG. 1 may also cause heat dissipation problems in the chip, which affects the performance of the chip.
图3是另一种芯片堆叠结构的示意图。如图3所示,该芯片堆叠结构包括相互堆叠的上层晶圆10和下层晶圆20。上层晶圆10的有源面(图3中的上层晶圆的上表面)和下层晶圆20的有源面(图3中的下层晶圆的上表面)制备有大量的金属焊盘14和24,上层晶圆10的金属焊盘14和下层晶圆的金属焊盘24的位置相互对应,并通过焊球40连接,以实现上层晶圆10和下层晶圆20的堆叠互连。为了使焊球40能够准确将上层晶圆10的金属焊盘14和下层晶圆20的金属焊盘24连接,图3所示的堆叠结构在制备中需要严格控制金属焊盘14和24的位置精度,以及严格控制金属焊盘14和24在化学机械抛光CMP时形成的蝶型轮廓(dish)的精度,生产工艺难度大,可实现性较低。并且,由于晶圆之间采用了焊球40互连,受到焊球40直径的限制,晶圆之间的间距和金属焊盘14和24之间的间距(pad pitch)存在极限,无法进一步缩小,使得图3所示的芯片堆叠结构的高度和水平尺寸比较大,增加了芯片后续封装后的尺寸。Figure 3 is a schematic diagram of another chip stacking structure. As shown in FIG. 3, the chip stack structure includes an upper wafer 10 and a lower wafer 20 stacked on each other. The active surface of the upper wafer 10 (the upper surface of the upper wafer in FIG. 3) and the active surface of the lower wafer 20 (the upper surface of the lower wafer in FIG. 3) are prepared with a large number of metal pads 14 and 24. The positions of the metal pads 14 of the upper wafer 10 and the metal pads 24 of the lower wafer correspond to each other, and are connected by solder balls 40 to realize the stack interconnection of the upper wafer 10 and the lower wafer 20. In order for the solder balls 40 to accurately connect the metal pads 14 of the upper wafer 10 and the metal pads 24 of the lower wafer 20, the stacked structure shown in FIG. 3 needs to strictly control the positions of the metal pads 14 and 24 during preparation. The precision and the strict control of the precision of the butterfly profile (dish) formed during the chemical mechanical polishing of the metal pads 14 and 24 during the chemical mechanical polishing CMP make the production process difficult and low achievability. Moreover, due to the use of solder balls 40 for interconnection between the wafers, due to the limitation of the diameter of the solder balls 40, there are limits to the spacing between the wafers and the pad pitch between the metal pads 14 and 24, which cannot be further reduced. , So that the height and horizontal size of the chip stack structure shown in FIG. 3 are relatively large, and the size of the chip after subsequent packaging is increased.
由此可见,目前在芯片的3D IC封装技术中采用的各种芯片堆叠结构,普遍存在堆叠厚度大和散热性能不理想的问题。It can be seen that various chip stacking structures currently used in chip 3D IC packaging technology generally have the problems of large stacking thickness and unsatisfactory heat dissipation performance.
本申请实施例提供了一种芯片堆叠结构及其制作方法,以解决上述各方案存在的技术问题。需要注意的是,本申请实施例所述的芯片堆叠结构,可以有多重不同的形态,并可以通过多种方式实现。下文所讨论的芯片堆叠结构及制作方法,仅仅是一些优选实施方式,用于阐述本申请实施例所述结构的可行性,不对本申请实施例的保护范围进行限制。通过其它方法或顺序实现本申请实施例所述堆叠封装结构,亦在本申请实施例的保护范围之内。The embodiments of the present application provide a chip stack structure and a manufacturing method thereof to solve the technical problems existing in the above solutions. It should be noted that the chip stack structure described in the embodiments of the present application can have multiple different forms and can be implemented in multiple ways. The chip stack structure and manufacturing method discussed below are only some preferred implementations, used to illustrate the feasibility of the structure described in the embodiments of the present application, and do not limit the protection scope of the embodiments of the present application. It is also within the protection scope of the embodiments of the present application to implement the stacked package structure described in the embodiments of the present application by other methods or procedures.
实施例一Example one
本申请实施例一提供了一种芯片堆叠结构,该芯片堆叠结构可应用于芯片的3D IC封装技术中,以降低芯片堆叠厚度和提高芯片散热性能。图4是本申请实施例一提供的芯片堆叠结构的分解图。如图4所示,该芯片堆叠结构包括:The first embodiment of the present application provides a chip stack structure, which can be applied to the 3D IC packaging technology of the chip, so as to reduce the thickness of the chip stack and improve the heat dissipation performance of the chip. FIG. 4 is an exploded view of the chip stack structure provided by Embodiment 1 of the present application. As shown in Figure 4, the chip stack structure includes:
第一晶圆100和第二晶圆200,第一晶圆100与第二晶圆200上下堆叠设置。第一晶圆100和第二晶圆200例如可以是硅材料制作的硅晶圆。第一晶圆100和第二晶圆200均包括有源面和无源面,其中,第一晶圆100的有源面110在图4中对应第一晶圆100的上表面,第一晶圆100的无源面120在图4中对应第一晶圆100的下表面,第二晶圆200的有源面210在图4中对应第二晶圆200的上表面,第二晶圆200的无源面220在图4中对应第二晶圆200的下表面,第一晶圆100的有源面110和第二晶圆200的无源面220相对设置。The first wafer 100 and the second wafer 200, and the first wafer 100 and the second wafer 200 are stacked on top of each other. The first wafer 100 and the second wafer 200 may be silicon wafers made of silicon material, for example. Both the first wafer 100 and the second wafer 200 include an active surface and a passive surface. The active surface 110 of the first wafer 100 corresponds to the upper surface of the first wafer 100 in FIG. The passive surface 120 of the circle 100 corresponds to the lower surface of the first wafer 100 in FIG. 4, the active surface 210 of the second wafer 200 corresponds to the upper surface of the second wafer 200 in FIG. The passive surface 220 of FIG. 4 corresponds to the lower surface of the second wafer 200, and the active surface 110 of the first wafer 100 and the passive surface 220 of the second wafer 200 are arranged opposite to each other.
第一晶圆100的有源面110设置有第一再布线层130。第一再布线层130包括介电层(dielectric layer)131和金属布线132。其中,介电层131覆盖在第一晶圆100的有源面110之上,介电层131作为金属布线132之间的绝缘材料,可以使用可被电极化的绝缘体材料制备,例如在第一晶圆100的有源面110使用等离子体增强化学的气相沉积法(plasma enhanced chemical vapor deposition,PECVD)沉积二氧化硅等材料,以形成介电层131。金属布线132作为芯片的I/O端口的引线穿梭于介电层131之中,金属布线132可以使用铜或者其他金属材料实现,例如使用大马士革工艺在介电层131上蚀刻金属布线132用的图膜,然后再金属填料以形成金属布线132,或者使用电镀 方式在介电层131制备金属布线132。容易理解是,由于芯片通常具有多个I/O端口,因此,本申请实施例中的金属布线132包括了各个I/O端口引线的多个金属线。The active surface 110 of the first wafer 100 is provided with a first rewiring layer 130. The first rewiring layer 130 includes a dielectric layer 131 and metal wiring 132. Wherein, the dielectric layer 131 covers the active surface 110 of the first wafer 100, and the dielectric layer 131 is used as an insulating material between the metal wirings 132, and can be made of an insulator material that can be polarized, for example, in the first wafer The active surface 110 of the wafer 100 uses plasma enhanced chemical vapor deposition (PECVD) to deposit materials such as silicon dioxide to form the dielectric layer 131. The metal wiring 132 is used as the lead wire of the I/O port of the chip to shuttle through the dielectric layer 131. The metal wiring 132 can be realized by using copper or other metal materials. For example, a Damascus process is used to etch the metal wiring 132 on the dielectric layer 131. The film is then filled with metal to form the metal wiring 132, or the metal wiring 132 is formed on the dielectric layer 131 by electroplating. It is easy to understand that since the chip usually has multiple I/O ports, the metal wiring 132 in the embodiment of the present application includes multiple metal wires for the leads of each I/O port.
本申请实施例中,第一再布线层130还设置有多个第一键合盘133,第一键合盘133裸露于第一再布线层130的平行于第一晶圆100的表面,即:第一键合盘133面向第二晶圆200的无源面220设置。上述多个第一键合盘133与第一再布线层130的金属布线132电连接。第一键合盘133可以使用铜或者其他金属材料实现,并使用大马士革或者电镀的方式与第一再布线层130一同制备得到。需要补充说明的是,由于根据晶圆电路设计的需求,第一再布线层130可能会包含一层或者多层金属布线132,每一层金属布线132都可能连接有第一键合盘133,因此,图4中标示的第一键合盘133可以被理解为只包括一个第一键合盘133,也可以被理解为包括多个第一键合盘133的堆叠。In the embodiment of the present application, the first rewiring layer 130 is further provided with a plurality of first bonding pads 133, and the first bonding pads 133 are exposed on the surface of the first rewiring layer 130 parallel to the first wafer 100, namely :The first bonding pad 133 is disposed facing the passive surface 220 of the second wafer 200. The plurality of first bonding pads 133 are electrically connected to the metal wiring 132 of the first rewiring layer 130. The first bonding pad 133 can be realized by using copper or other metal materials, and is prepared together with the first rewiring layer 130 by using Damascus or electroplating. It should be supplemented that, due to the requirements of wafer circuit design, the first rewiring layer 130 may include one or more layers of metal wiring 132, and each layer of metal wiring 132 may be connected to the first bonding pad 133. Therefore, the first bonding pad 133 indicated in FIG. 4 may be understood as including only one first bonding pad 133, or may be understood as including a stack of a plurality of first bonding pads 133.
进一步如图4所示,第二晶圆200的有源面210设置有第二再布线层230。第二再布线层230由介电层231和金属布线232组成,第二再布线层230的介电层231和金属布线232的实现方式可以参考于第一再布线层130的介电层131和金属布线132的实现方式。容易理解的是,根据晶圆的集成电路设计的不同,第一再布线层130和第二再布线层230的介电质和金属布线可以采用相同的方式实现,也可以采用不同的方式实现,例如金属布线的布局不同等,本申请实施例中对第二再布线层230的实现方式不再赘述。As further shown in FIG. 4, the active surface 210 of the second wafer 200 is provided with a second rewiring layer 230. The second rewiring layer 230 is composed of a dielectric layer 231 and a metal wiring 232. The implementation of the dielectric layer 231 and the metal wiring 232 of the second rewiring layer 230 can refer to the dielectric layer 131 and the metal wiring 232 of the first rewiring layer 130. Implementation of the metal wiring 132. It is easy to understand that, depending on the design of the integrated circuit of the wafer, the dielectric and metal wiring of the first rewiring layer 130 and the second rewiring layer 230 can be implemented in the same way or in different ways. For example, the layout of the metal wiring is different, and the implementation of the second rewiring layer 230 in the embodiment of the present application will not be repeated.
进一步如图4所示,第二晶圆200还设置有多个硅通孔233,上述多个硅通孔233从第二晶圆200的有源面210贯穿至第二晶圆200的无源面220。第二晶圆200的第二再布线层230还设置有多个金属垫盘234,该多个金属垫盘与第二再布线层230中的金属布线232电连接。金属垫盘234可以使用铜或者其他金属材料实现,例如使用大马士革工艺或者电镀方式在第二再布线层230中制备而成。需要补充说明的是,由于根据晶圆电路设计的需求,第二再布线层230可能会包含一层或者多层金属布线232,每一层金属布线232都可能连接有金属垫盘234,因此,图4中标示的金属垫盘234可以被理解为只包括一个金属垫盘234,也可以被理解为包括多个金属垫盘234的堆叠。As further shown in FIG. 4, the second wafer 200 is further provided with a plurality of through silicon vias 233, and the plurality of through silicon vias 233 penetrate from the active surface 210 of the second wafer 200 to the passive surface of the second wafer 200.面220. The second rewiring layer 230 of the second wafer 200 is also provided with a plurality of metal pads 234 which are electrically connected to the metal wiring 232 in the second rewiring layer 230. The metal pad 234 may be implemented using copper or other metal materials, for example, prepared in the second rewiring layer 230 using a Damascus process or an electroplating method. It should be supplemented that, according to the requirements of wafer circuit design, the second rewiring layer 230 may include one or more layers of metal wiring 232, and each layer of metal wiring 232 may be connected to a metal pad 234. Therefore, The metal shim plate 234 indicated in FIG. 4 can be understood as including only one metal shim plate 234, or can be understood as including a stack of a plurality of metal shim plates 234.
图5是第一晶圆和第二晶圆堆叠状态的剖面视图。如图5所示,第二晶圆200的无源面220与第一晶圆100的第一再布线层130键合连接,使第一晶圆100和第二晶圆200实现堆叠。本申请实施例的一个特别之处在于,第一键合盘133裸露于第一再布线层130的表面,使得第一键合盘133也能够与第二晶圆200的无源面220实现键合。因此,本申请实施例中的“第二晶圆200的无源面220与第一晶圆100的第一再布线层130键合连接”,实际上是第二晶圆200的无源面220与第一晶圆100的第一再布线层130和多个第一键合盘133实现键合连接。FIG. 5 is a cross-sectional view of the stacked state of the first wafer and the second wafer. As shown in FIG. 5, the passive surface 220 of the second wafer 200 is bonded to the first rewiring layer 130 of the first wafer 100, so that the first wafer 100 and the second wafer 200 are stacked. A special feature of the embodiment of the present application is that the first bonding pad 133 is exposed on the surface of the first rewiring layer 130, so that the first bonding pad 133 can also be bonded to the passive surface 220 of the second wafer 200. Together. Therefore, the "passive surface 220 of the second wafer 200 and the first rewiring layer 130 of the first wafer 100 are bonded and connected" in the embodiment of the present application is actually the passive surface 220 of the second wafer 200 The bonding connection is achieved with the first rewiring layer 130 of the first wafer 100 and the plurality of first bonding pads 133.
进一步如图5所示,每个硅通孔233的位于第二晶圆200的有源面210的一端与至少一个金属垫盘234连接,每个硅通孔233的位于第二晶圆200的无源面220的一端与至少一个第一键合盘133连接。硅通孔233内还填充有金属填料235,例如:电镀铜等。该金属填料235可以通过在硅通孔233内表面溅射形成与第一键合盘133连接的晶种层,然后在晶种层表面进行金属电镀得到。金属填料235用于使位于硅通孔 233一端的金属垫盘234和位于硅通孔233另一端的第一键合盘133形成电连接,实现第一晶圆100和第二晶圆200之间的电气互连。As further shown in FIG. 5, one end of each through silicon via 233 located on the active surface 210 of the second wafer 200 is connected to at least one metal pad 234, and each through silicon via 233 is located on the second wafer 200. One end of the passive surface 220 is connected to at least one first bonding pad 133. The through silicon via 233 is also filled with a metal filler 235, such as electroplated copper. The metal filler 235 can be formed by sputtering on the inner surface of the through silicon hole 233 to form a seed layer connected to the first bonding pad 133, and then performing metal plating on the surface of the seed layer. The metal filler 235 is used to make the metal pad 234 at one end of the through silicon hole 233 and the first bonding pad 133 at the other end of the through silicon hole 233 form an electrical connection to realize the connection between the first wafer 100 and the second wafer 200 Electrical interconnection.
需要补充说明的是,如果第二晶圆200是芯片的最上层晶圆,那么第二再布线层230如图5所示还可以设置有焊球236,通过该焊球236可以将封装后的芯片连接到外部基板或者印刷电路板上,使芯片与外部的基板或者印刷电路板PCB形成电气连接。It should be supplemented that if the second wafer 200 is the uppermost wafer of the chip, the second rewiring layer 230 may also be provided with solder balls 236 as shown in FIG. The chip is connected to an external substrate or printed circuit board, so that the chip and the external substrate or printed circuit board PCB form an electrical connection.
本申请实施例提供的芯片堆叠结构,将第一晶圆100有源面110的第一再布线层130和第二晶圆200的无源面220直接键合连接,因此不需要在键合表面制备额外的介电层或者使用焊球,能够使第一晶圆100和第二晶圆200堆叠之后的厚度至少缩小上述额外的介电层和焊球产生的厚度,使芯片封装后的尺寸更小,更轻薄。并且,省去了额外的介电层之后,第一晶圆100和第二晶圆200堆叠后的热阻减小,有利于热量在晶圆之间传递,提高了芯片的散热性能。另外,第一晶圆100的第一再布线层130还设置有裸露的第一键合盘133,第二晶圆200还设置有与第一键合盘133连接的硅通孔233,使得第一晶圆100和第二晶圆200可以通过硅通孔233直接电气互连,不需要借助焊球或者刻蚀介电层,因此结构简单、工艺步骤简化、连接可靠性高。The chip stack structure provided by the embodiment of the present application directly bonds and connects the first rewiring layer 130 of the active surface 110 of the first wafer 100 and the passive surface 220 of the second wafer 200, so there is no need to connect the bonding surface Preparing an additional dielectric layer or using solder balls can make the thickness of the first wafer 100 and the second wafer 200 after stacking at least reduce the thickness of the additional dielectric layer and solder balls, so that the size of the chip after packaging can be more reduced. Smaller and thinner. In addition, after the additional dielectric layer is omitted, the thermal resistance after the first wafer 100 and the second wafer 200 are stacked is reduced, which facilitates the transfer of heat between the wafers and improves the heat dissipation performance of the chip. In addition, the first rewiring layer 130 of the first wafer 100 is also provided with a bare first bonding pad 133, and the second wafer 200 is also provided with a through silicon via 233 connected to the first bonding pad 133, so that the first The first wafer 100 and the second wafer 200 can be directly electrically interconnected through the through silicon vias 233, without the use of solder balls or etching the dielectric layer, so the structure is simple, the process steps are simplified, and the connection reliability is high.
在一种可选择的实施方式中,如图6所示,本申请实施例提供的芯片堆叠结构还包括:多个第二键合盘134。第二键合盘134裸露设置于第一再布线层130的平行于第一晶圆100的有源面110的表面,即:第二键合盘134面向第二晶圆200的无源面220设置。上述多个第二键合盘134与第一再布线层130的金属布线132电连接。第二键合盘134可以使用铜或者其他金属材料实现,并使用大马士革或者电镀的方式与第一再布线层130一同制备得到。In an alternative implementation manner, as shown in FIG. 6, the chip stack structure provided by the embodiment of the present application further includes: a plurality of second bonding pads 134. The second bonding pad 134 is exposed and disposed on the surface of the first rewiring layer 130 parallel to the active surface 110 of the first wafer 100, that is, the second bonding pad 134 faces the passive surface 220 of the second wafer 200 Set up. The plurality of second bonding pads 134 are electrically connected to the metal wiring 132 of the first rewiring layer 130. The second bonding pad 134 can be realized by using copper or other metal materials, and prepared together with the first rewiring layer 130 by using Damascus or electroplating.
图7是第一晶圆和第二晶圆堆叠状态的剖面视图。如图7所示,由于第二键合盘134裸露于第一再布线层130的表面,使得第二键合盘134也能够与第二晶圆200的无源面220实现键合。因此,本申请实施例中的“第二晶圆200的无源面220与第一晶圆100的第一再布线层130键合连接”,还可以是第二晶圆200的无源面220与第一晶圆100的第一再布线层130、多个第一键合盘133和多个第二键合盘134实现键合连接。FIG. 7 is a cross-sectional view of the stacked state of the first wafer and the second wafer. As shown in FIG. 7, since the second bonding pad 134 is exposed on the surface of the first rewiring layer 130, the second bonding pad 134 can also be bonded to the passive surface 220 of the second wafer 200. Therefore, the “passive surface 220 of the second wafer 200 and the first rewiring layer 130 of the first wafer 100 are bonded and connected” in the embodiment of the present application may also be the passive surface 220 of the second wafer 200 The bonding connection is achieved with the first rewiring layer 130 of the first wafer 100, the plurality of first bonding pads 133, and the plurality of second bonding pads 134.
本申请实施例中,第一键合盘133和第二键合盘134可以是相同的键合盘,也可以是不同的键合盘,两者的主要区别在于:第一键合盘133用于与第二晶圆200的硅通孔233连接,使得第一晶圆100和第二晶圆200实现电气互连;而第二键合盘134仅与第二晶圆200的无源面220连接,不与硅通孔233连接,因此第二键合盘134在本申请实施例中是作为晶圆之间的导热结构使用,能够进一步降低晶圆之间的热阻,提高热量在晶圆之间的传递效率,改善芯片封装之后的散热性能。In the embodiment of the present application, the first bonding pad 133 and the second bonding pad 134 may be the same bonding pad or different bonding pads. The main difference between the two is that the first bonding pad 133 is used It is connected to the through silicon via 233 of the second wafer 200, so that the first wafer 100 and the second wafer 200 are electrically interconnected; and the second bonding pad 134 is only connected to the passive surface 220 of the second wafer 200 It is not connected to the through silicon via 233. Therefore, the second bonding pad 134 is used as a heat conduction structure between wafers in the embodiment of the present application, which can further reduce the thermal resistance between the wafers and increase the heat on the wafers. The transfer efficiency between the chips improves the heat dissipation performance after the chip is packaged.
在一种实施例中,如图8所示,本申请实施例中的第二晶圆200的无源面220还设置有第一介电层237。当第二晶圆200的无源面220设置有第一介电层237时,本申请实施例中的“第二晶圆200的无源面220与第一晶圆100的第一再布线层130键合连接”,还可以是第二晶圆200的第一介电层237与第一晶圆100的第一再布线层130、多个第一键合盘133和多个第二键合盘134实现键合连接。In an embodiment, as shown in FIG. 8, the passive surface 220 of the second wafer 200 in the embodiment of the present application is further provided with a first dielectric layer 237. When the passive surface 220 of the second wafer 200 is provided with the first dielectric layer 237, the "passive surface 220 of the second wafer 200 and the first rewiring layer of the first wafer 100" in the embodiment of the present application 130 bonding connection" can also be the first dielectric layer 237 of the second wafer 200 and the first rewiring layer 130 of the first wafer 100, a plurality of first bonding pads 133, and a plurality of second bonding The disk 134 realizes the bond connection.
本申请实施例中,第一介电层237可使用高导热材料制备,例如碳化硅、金刚石、石墨烯或氮化硅等。以降低第一晶圆100和第二晶圆200之间的热阻,提高芯片封装 之后的散热性能。In the embodiment of the present application, the first dielectric layer 237 may be made of a high thermal conductivity material, such as silicon carbide, diamond, graphene, or silicon nitride. In order to reduce the thermal resistance between the first wafer 100 and the second wafer 200, the heat dissipation performance after the chip packaging is improved.
需要补充说明的是,一个采用3D IC技术封装的芯片可能包含两层或者多层相互堆叠的晶圆,而本申请实施例示出的第一晶圆100和第二晶圆200的堆叠结构可以是芯片中任意相邻的两层晶圆采用的堆叠结构。在具有多层晶圆的芯片中,任意相邻的两层晶圆可以采用相同的或者不同的堆叠结构,例如:所有相邻的两层晶圆都采用如第一晶圆100和第二晶圆200的堆叠结构,或者只有部分相邻的两层晶圆采用如第一晶圆100和第二晶圆200的堆叠结构,这些结构设计都没有超出本申请实施例的保护范围。It should be supplemented that a chip packaged with 3D IC technology may include two or more layers of wafers stacked on each other, and the stacked structure of the first wafer 100 and the second wafer 200 shown in the embodiment of the present application may be A stack structure used by any adjacent two layers of wafers in the chip. In a chip with multi-layer wafers, any two adjacent layers of wafers can adopt the same or different stacking structure, for example: all adjacent two layers of wafers use the first wafer 100 and the second wafer. The stacked structure of the circle 200, or the stacked structure of the first wafer 100 and the second wafer 200 with only part of adjacent two-layer wafers, does not exceed the protection scope of the embodiments of the present application.
图9和图10是本申请实施例示出的一种多层芯片堆叠结构的分解图和剖视图。9 and 10 are an exploded view and a cross-sectional view of a multi-layer chip stack structure shown in an embodiment of the present application.
如图9和图10所示,该芯片堆叠结构包括:多层相互堆叠的晶圆,例如:晶圆wafer1、晶圆wafer2、晶圆wafer3、…、晶圆wafer N。其中,任意两层相邻的晶圆都可以作为本申请实施例中的第一晶圆和第二晶圆,并且具有本申请实施例第一晶圆和第二晶圆的堆叠结构。As shown in FIG. 9 and FIG. 10, the chip stack structure includes: multiple layers of mutually stacked wafers, such as wafer 1, wafer 2, wafer 3, ..., wafer N. Wherein, any two adjacent wafers can be used as the first wafer and the second wafer in the embodiment of the present application, and have a stacked structure of the first wafer and the second wafer in the embodiment of the present application.
示例地,晶圆wafer1可以被实施为第一晶圆,晶圆wafer1的再布线层RDL1相应地可以被实施为第一再布线层;晶圆wafer2可以被实施为与晶圆wafer1对应的第二晶圆,晶圆wafer2的再布线层RDL2相应地可以被实施为第二再布线层。晶圆wafer2的无源面322与晶圆wafer1的再布线层RDL1、多个第一键合盘133和多个第二键合盘134实现键合连接。晶圆wafer2设置有多个硅通孔233,每个硅通孔233的一端与晶圆wafer1的多个第一键合盘133中的至少一个连接,另一端与晶圆wafer2的再布线层RDL2的金属布线132连接,实现晶圆wafer1和晶圆wafer2的电气互连。For example, wafer1 can be implemented as a first wafer, and the rewiring layer RDL1 of wafer1 can be implemented as a first rewiring layer accordingly; wafer2 can be implemented as a second corresponding to wafer1 For the wafer, the rewiring layer RDL2 of wafer2 can be implemented as a second rewiring layer accordingly. The passive surface 322 of the wafer 2 is bonded to the rewiring layer RDL1 of the wafer 1, the plurality of first bonding pads 133 and the plurality of second bonding pads 134. Wafer2 is provided with multiple through silicon vias 233, one end of each through silicon via 233 is connected to at least one of the multiple first bonding pads 133 of wafer1, and the other end is connected to the rewiring layer RDL2 of wafer2 The metal wiring 132 is connected to realize the electrical interconnection between wafer wafer1 and wafer wafer2.
示例地,晶圆wafer2可以被实施为第一晶圆,晶圆wafer2的再布线层RDL2相应地可以被实施为第一再布线层;晶圆wafer3可以被实施为与晶圆wafer2对应的第二晶圆,晶圆wafer3的再布线层RDL3相应地可以被实施为第二再布线层。晶圆wafer3的无源面332与晶圆wafer2的再布线层RDL2、多个第一键合盘133和多个第二键合盘134实现键合连接。晶圆wafer3设置有多个硅通孔233,每个硅通孔233的一端与晶圆wafer2的多个第一键合盘133中的至少一个连接,另一端与晶圆wafer3的再布线层RDL3的金属布线132连接,实现晶圆wafer2和晶圆wafer3的电气互连。For example, wafer2 can be implemented as the first wafer, and the rewiring layer RDL2 of wafer2 can be implemented as the first rewiring layer accordingly; wafer3 can be implemented as the second corresponding to wafer2 For the wafer, the rewiring layer RDL3 of wafer3 can be implemented as a second rewiring layer accordingly. The passive surface 332 of the wafer 3 is bonded to the rewiring layer RDL2 of the wafer 2, the plurality of first bonding pads 133 and the plurality of second bonding pads 134. Wafer3 is provided with multiple through silicon vias 233, one end of each through silicon via 233 is connected to at least one of the multiple first bonding pads 133 of wafer2, and the other end is connected to the rewiring layer RDL3 of wafer3 The metal wiring 132 is connected to realize the electrical interconnection of wafer2 and wafer3.
其他晶圆之间,例如:晶圆wafer m和晶圆wafer m+1(m为自然数,m+1≤N)的堆叠结构也可以参照图9和图10示出的堆叠结构实施。其中,当晶圆wafer m被实施为第一晶圆时,晶圆wafer m+1可以被实施为第二晶圆,本申请实施例对此不再赘述。Between other wafers, for example, a stack structure of wafer m and wafer m+1 (m is a natural number, m+1≤N) can also be implemented with reference to the stack structure shown in FIG. 9 and FIG. 10. Wherein, when the wafer (m) is implemented as the first wafer, the wafer (m+1) may be implemented as the second wafer, which will not be repeated in the embodiment of the present application.
图9和图10所示的芯片堆叠结构可以应用到堆叠式动态随机存取存储器(stacked dynamic random access memory,stacked DRAM)、3D NAND闪存,以及其他需要对晶圆进行堆叠封装的芯片中。The chip stack structure shown in FIG. 9 and FIG. 10 can be applied to stacked dynamic random access memory (stacked DRAM), 3D NAND flash memory, and other chips that require wafers to be stacked and packaged.
其中,stacked DRAM例如可以是高带宽内存(high-bandwidth memory,HBM),当图9和图10所示的芯片堆叠结构应用到HBM中时,可以有效降低HBM的晶圆堆叠厚度,使HBM在相同厚度下能够堆叠更多的晶圆,使HBM以更小的体积提供更高的带宽和更大容量;图9和图10所示的芯片堆叠结构还能够提高HBM的散热性能,有利于提升HBM的频率,使HBM发挥出更高的性能。Among them, the stacked DRAM can be, for example, high-bandwidth memory (HBM). When the chip stack structure shown in FIG. 9 and FIG. 10 is applied to HBM, the thickness of the wafer stack of HBM can be effectively reduced, making HBM in More wafers can be stacked with the same thickness, which enables HBM to provide higher bandwidth and larger capacity with a smaller volume; the chip stacking structure shown in Figure 9 and Figure 10 can also improve the heat dissipation performance of HBM, which is beneficial for improvement The frequency of HBM enables HBM to play a higher performance.
当图9和图10所示的芯片堆叠结构应用到3D NAND闪存中时,可以有效降低 3D NAND闪存的晶圆堆叠厚度,使3D NAND闪存在相同厚度下能够堆叠更多的晶圆,例如从现有的96层、128层晶圆堆叠到更多层数,使3D NAND闪存以更小的体积提供更大的容量;图9和图10所示的芯片堆叠结构还能够提高3D NAND闪存的散热性能,有利于提高3D NAND闪存的连续读写性能。When the chip stack structure shown in Figure 9 and Figure 10 is applied to 3D NAND flash memory, the wafer stack thickness of 3D NAND flash memory can be effectively reduced, so that 3D NAND flash memory can stack more wafers with the same thickness, for example, from The existing 96-layer and 128-layer wafers are stacked to more layers, enabling 3D NAND flash memory to provide larger capacity with a smaller volume; the chip stacking structure shown in Figure 9 and Figure 10 can also improve the performance of 3D NAND flash memory. The heat dissipation performance is conducive to improving the continuous read and write performance of 3D NAND flash memory.
需要补充说明的是,如图10所示,在多层晶圆的堆叠结构中,最上层的晶圆wafer N的再布线层RDL N可以设置有焊球236,通过该焊球236可以将封装后的芯片集成到外部基板或者印刷电路板上,使芯片与外部的基板或者印刷电路板PCB形成电气连接。It should be supplemented that, as shown in FIG. 10, in a multilayer wafer stack structure, the rewiring layer RDL N of the uppermost wafer wafer N can be provided with solder balls 236, through which the package can be packaged. The latter chip is integrated on the external substrate or printed circuit board, so that the chip and the external substrate or printed circuit board PCB form an electrical connection.
图11是本申请实施例示出的一种集成芯片的结构示意图,该集成芯片包括基板和至少一个芯片,其中,一部分芯片(以下称为芯片1)可以具有图9和图10所示的芯片堆叠结构,另一部分芯片(以下称为芯片2)可以具有其他形式的芯片堆叠结构。其中,芯片1可以通过焊球236与基板238形成电连接,芯片2可以包括多层晶圆,该多层晶圆可以使用本申请实施例提供的芯片堆叠结构进行封装,也可以使用其他芯片堆叠结构,例如内插器239等连接结构进行封装。11 is a schematic structural diagram of an integrated chip shown in an embodiment of the present application. The integrated chip includes a substrate and at least one chip. A part of the chips (hereinafter referred to as chip 1) may have the chip stack shown in FIGS. 9 and 10 Structure, another part of the chip (hereinafter referred to as chip 2) may have other forms of chip stacking structure. Wherein, the chip 1 may be electrically connected to the substrate 238 through solder balls 236, and the chip 2 may include a multi-layer wafer. The multi-layer wafer can be packaged using the chip stack structure provided in the embodiment of the present application, or other chip stacks can be used. The structure, for example, a connection structure such as the interposer 239, is packaged.
作为示例地,图11所示的集成芯片可以是图形处理器(graphics processing unit,GPU),其中,芯片1可以是该图形处理器的显存芯片,例如HBM显存芯片,芯片2可以是该图形处理器的系统芯片(syetem on chip,SOC),一个图形处理器中可以包含至少一个系统芯片和多个HBM显存芯片。本申请实施例提供的芯片堆叠结构能够使HBM显存芯片以更小的体积提供更高的带宽和更大容量,有利于提高图形处理器的性能。As an example, the integrated chip shown in FIG. 11 may be a graphics processing unit (GPU), where chip 1 may be the video memory chip of the graphics processor, such as an HBM video memory chip, and chip 2 may be the graphics processing unit. The system chip (syetem on chip, SOC) of the processor, a graphics processor may include at least one system chip and multiple HBM video memory chips. The chip stack structure provided by the embodiments of the present application can enable the HBM video memory chip to provide higher bandwidth and larger capacity with a smaller volume, which is beneficial to improving the performance of the graphics processor.
实施例二Example two
本申请实施例二提供了一种芯片堆叠结构的制作方法,该方法可以用于制作本申请实施例一及其各个实施方式的芯片堆叠结构。该方法可以包括以下步骤S101~步骤S104:The second embodiment of the present application provides a method for fabricating a chip stack structure, and the method can be used to fabricate the chip stack structure of the first embodiment of the present application and various embodiments thereof. The method may include the following steps S101 to S104:
步骤S101,在第一晶圆的有源面制备第一再布线层和多个第一键合盘,多个第一键合盘裸露设置于第一再布线层的平行第一晶圆的表面,多个第一键合盘与第一再布线层的金属布线电连接。Step S101, preparing a first rewiring layer and a plurality of first bonding pads on the active surface of the first wafer, and the plurality of first bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer. , The plurality of first bonding pads are electrically connected to the metal wiring of the first rewiring layer.
第一再布线层包括介电层和金属布线。其中,介电层可以使用PECVD方法在第一晶圆的有源面沉积二氧化硅等材料而形成;金属布线可以与第一键合盘共同制备,例如使用大马士革工艺在介电层上蚀刻金属布线和第一键合盘用的图膜,然后再金属填料以形成金属布线和第一键合盘,或者使用电镀方式在介电层制备金属布线和第一键合盘。需要注意的是,最终制备完成的第一键合盘需要裸露在第一再布线层表面。The first rewiring layer includes a dielectric layer and metal wiring. Among them, the dielectric layer can be formed by depositing silicon dioxide and other materials on the active surface of the first wafer using the PECVD method; the metal wiring can be prepared together with the first bonding pad, for example, using a Damascus process to etch metal on the dielectric layer The pattern film for wiring and the first bonding pad is then filled with metal to form the metal wiring and the first bonding pad, or electroplating is used to prepare the metal wiring and the first bonding pad on the dielectric layer. It should be noted that the final prepared first bonding pad needs to be exposed on the surface of the first rewiring layer.
为了得到裸露于第一再布线层表面的第一键合盘,步骤S101可以通过如图12所示的步骤S201~步骤S202实现:In order to obtain the first bonding pad exposed on the surface of the first rewiring layer, step S101 can be implemented through step S201 to step S202 as shown in FIG. 12:
步骤S201,在第一晶圆100的有源面110制备大于预设目标厚度H的第一再布线层130,以及隐藏在第一再布线层130内的多个第一键合盘133。In step S201, a first rewiring layer 130 with a thickness greater than a predetermined target thickness H and a plurality of first bonding pads 133 hidden in the first rewiring layer 130 are prepared on the active surface 110 of the first wafer 100.
其中,目标厚度H是指芯片设计时确定的第一再布线层的厚度,目标厚度H可以根据设计规则(design rule)确定,设计规则例如可以是由半导体制造厂商提供的参数,以保证目标厚度H满足制造所需的参数要求。The target thickness H refers to the thickness of the first rewiring layer determined during chip design. The target thickness H can be determined according to design rules. The design rules can be, for example, parameters provided by semiconductor manufacturers to ensure the target thickness. H meets the parameter requirements required by manufacturing.
在厚度大于目标厚度H的第一再布线层130中,金属布线132和第一键合盘133均隐藏于第一再布线层130的介电层131内,其中,第一键合盘133沿垂直于第一晶圆100有源面110的方向具有一定的深度,并且第一键合盘133的远离有源面110的一端与有源面110的距离L大于目标厚度H。In the first rewiring layer 130 whose thickness is greater than the target thickness H, the metal wiring 132 and the first bonding pad 133 are both hidden in the dielectric layer 131 of the first rewiring layer 130, wherein the first bonding pad 133 is The direction perpendicular to the active surface 110 of the first wafer 100 has a certain depth, and the distance L between the end of the first bonding pad 133 away from the active surface 110 and the active surface 110 is greater than the target thickness H.
步骤S202,通过去除材料加工,将第一再布线层130减薄至目标厚度H,使多个第一键合盘133裸露于第一再布线层130的平行于第一晶圆100的表面。In step S202, the first rewiring layer 130 is thinned to a target thickness H through material removal processing, so that the plurality of first bonding pads 133 are exposed on the surface of the first rewiring layer 130 parallel to the first wafer 100.
具体实现中,可以采用CMP工艺对第一再布线层130进行去除材料处理,使第一再布线层130被减薄到目标厚度,进而使第一键合盘133从步骤S201之后的隐藏状态变为裸露状态。在CMP过程中,第一键合盘133裸露的表面可能会产生氧化层,因此在CMP之后,还可以使用化学清洗或者等离子清洗的方式去除氧化层,其中,化学清洗方式例如甲酸清洗,等离子清洗方式例如氩等离子体清洗,此处不再赘述。In specific implementation, the CMP process can be used to remove material from the first rewiring layer 130, so that the first rewiring layer 130 is thinned to a target thickness, and the first bonding pad 133 is changed from the hidden state after step S201. It is naked. During the CMP process, an oxide layer may be generated on the exposed surface of the first bonding pad 133. Therefore, after CMP, chemical cleaning or plasma cleaning can also be used to remove the oxide layer. Among them, chemical cleaning methods such as formic acid cleaning, plasma cleaning The method is for example argon plasma cleaning, which will not be repeated here.
另外,在CMP过程中,第一键合盘133会受到盘效应(dish effect)而在裸露的表面形成碟形轮廓dish,由于第一键合盘133与其周围介电质131的材料不一致,该碟形轮廓会形成浅凹槽,该浅凹槽可以作为后续键合过程中的金属蠕变和塑性变形的膨胀余量。需要补充说明的是,由于本申请实施例中的第一键合盘133不需要与焊球连接,因此在CMP过程中,不需要在工艺上精确控制dish的深度,只要保留膨胀余量即可,因此,本申请实施例的方法提高了工艺控制的可行性,使得生产成本得到降低。In addition, during the CMP process, the first bonding pad 133 will be subjected to a dish effect to form a dish-shaped contour dish on the exposed surface. Since the first bonding pad 133 is inconsistent with the material of the surrounding dielectric 131, this The dish-shaped profile will form a shallow groove, which can be used as an expansion allowance for metal creep and plastic deformation in the subsequent bonding process. It should be supplemented that since the first bonding pad 133 in the embodiment of the present application does not need to be connected to the solder balls, there is no need to precisely control the depth of the dish during the CMP process, as long as the expansion margin is retained. Therefore, the method of the embodiment of the present application improves the feasibility of process control, and reduces the production cost.
在一种可实现的实施方式中,第一再布线层表面还设置有裸露的第二键合盘,那么,为了制备得到第二键合盘,步骤S101还可以通过图13所示的步骤S301和步骤S302实现:In an achievable embodiment, the surface of the first rewiring layer is also provided with a bare second bonding pad. Then, in order to prepare the second bonding pad, step S101 can also pass through step S301 shown in FIG. 13 And step S302 is implemented:
步骤S301,在第一晶圆100的有源面110制备大于预设目标厚度H的第一再布线层130,以及隐藏在第一再布线层130内的多个第一键合盘133和多个第二键合盘134。Step S301, preparing a first rewiring layer 130 larger than a preset target thickness H on the active surface 110 of the first wafer 100, and a plurality of first bonding pads 133 and multiple layers hidden in the first rewiring layer 130 A second bonding pad 134.
在厚度大于目标厚度H的第一再布线层130中,金属布线132、第一键合盘133和第二键合盘134均隐藏于第一再布线层130的介电层131内,其中,第一键合盘133和第二键合盘134沿垂直于第一晶圆100的有源面110的方向均具有一定的深度,并且第一键合盘133和第二键合盘134的远离有源面110的一端与有源面110的距离大于目标厚度H。In the first rewiring layer 130 whose thickness is greater than the target thickness H, the metal wiring 132, the first bonding pad 133, and the second bonding pad 134 are all hidden in the dielectric layer 131 of the first rewiring layer 130, wherein, The first bonding pad 133 and the second bonding pad 134 each have a certain depth along the direction perpendicular to the active surface 110 of the first wafer 100, and the distance between the first bonding pad 133 and the second bonding pad 134 The distance between one end of the active surface 110 and the active surface 110 is greater than the target thickness H.
步骤S302,通过去除材料加工,将第一再布线层130减薄至目标厚度H,使多个第一键合盘133和多个第二键合盘134裸露于第一再布线层130的平行于第一晶圆100的表面。In step S302, the first rewiring layer 130 is thinned to a target thickness H by removing the material, so that the plurality of first bonding pads 133 and the plurality of second bonding pads 134 are exposed in parallel with the first rewiring layer 130 On the surface of the first wafer 100.
本申请实施例中,第一键合盘133和第二键合盘134可以是相同的键合盘,也可以是不同的键合盘,两者的主要区别在于:第一键合盘133用于与第二晶圆的硅通孔连接,使得第一晶圆100和第二晶圆实现电气互连;而第二键合盘134仅与第二晶圆的无源面连接,不与硅通孔连接,因此第二键合盘134在本申请实施例中是作为晶圆之间的导热结构使用,能够降低晶圆之间的热阻,提高热量在晶圆之间的传递效率,改善芯片封装之后的散热性能。In the embodiment of the present application, the first bonding pad 133 and the second bonding pad 134 may be the same bonding pad or different bonding pads. The main difference between the two is that the first bonding pad 133 is used It is connected to the through-silicon via of the second wafer, so that the first wafer 100 and the second wafer are electrically interconnected; and the second bonding pad 134 is only connected to the passive surface of the second wafer, not to the silicon Through-hole connection, therefore, the second bonding pad 134 is used as a heat conduction structure between wafers in the embodiment of the present application, which can reduce the thermal resistance between the wafers, improve the heat transfer efficiency between the wafers, and improve The heat dissipation performance after the chip is packaged.
步骤S102,将第二晶圆的无源面与第一再布线层和多个第一键合盘键合连接。Step S102, bonding the passive surface of the second wafer to the first rewiring layer and the plurality of first bonding pads.
具体实现中,如图14所示,可以首先对第二晶圆200的无源面220和第一再布线 层130进行活化处理,然后采用硅熔键合的方式使第二晶圆200的无源面220与第一再布线层130实现连接。由于第一再布线层130还设置有裸露的第一键合盘133,因此第一键合盘133也能够与第二晶圆200的无源面220实现键合连接。另外,如果第一再布线层还设置有裸露的第二键合盘134,那么第二键合盘134也能够与第二晶圆200的无源面220实现键合连接。因此,本申请实施例中的第一晶圆100和第二晶圆200的连接方法介于硅熔键合和混合键合之间。In specific implementation, as shown in FIG. 14, the passive surface 220 of the second wafer 200 and the first rewiring layer 130 can be activated first, and then the silicon fusion bonding method is used to make the second wafer 200 non-volatile. The source plane 220 is connected to the first rewiring layer 130. Since the first rewiring layer 130 is also provided with the exposed first bonding pad 133, the first bonding pad 133 can also be bonded to the passive surface 220 of the second wafer 200. In addition, if the first rewiring layer is also provided with the exposed second bonding pad 134, the second bonding pad 134 can also be bonded to the passive surface 220 of the second wafer 200. Therefore, the connection method of the first wafer 100 and the second wafer 200 in the embodiment of the present application is between silicon fusion bonding and hybrid bonding.
步骤S103,在第二晶圆刻蚀形成多个硅通孔,每个硅通孔的位于第二晶圆的无源面的一端与多个第一键合盘中的至少一个连接。In step S103, a plurality of through silicon vias are formed by etching on the second wafer, and an end of each through silicon via located on the passive surface of the second wafer is connected to at least one of the plurality of first bonding pads.
具体实现中,如图15所示,可以根据第一键合盘133的位置,在第二晶圆200的有源面210确定硅通孔233的投影位置;然后,垂直于第二晶圆200的有源面210,从上述确定的投影位置向第一键合盘133方向刻蚀形成硅通孔233,在刻蚀过程中,可以通过控制刻蚀深度,使硅通孔233底部逐渐向第一键合盘133靠近,直到裸露出第一键合盘133;接下来,在硅通孔233内表面溅射形成与第一键合盘133连接的晶种层;最后,在晶种层表面进行金属电镀以制备用于使第一晶圆100和第二晶圆200实现电气互连的金属填料235。本申请实施例的方法,在刻蚀硅通孔233的过程中不会产生额外的介电质刻蚀,只刻蚀晶圆体硅,不需要针对不同材料去选择刻蚀选择比,避免了因为刻蚀选择比不合适而在介电层形成鳍型(Fin)刻蚀形貌和鼓型刻蚀形貌的问题,使得晶种层和金属填料235的连续性得到保证,提高第一晶圆100和第二晶圆200之间的连接可靠性。In specific implementation, as shown in FIG. 15, the projection position of the TSV 233 can be determined on the active surface 210 of the second wafer 200 according to the position of the first bonding pad 133; then, the projection position of the TSV 233 is perpendicular to the second wafer 200 The active surface 210 is etched from the determined projection position to the direction of the first bonding pad 133 to form the through silicon hole 233. During the etching process, the etching depth can be controlled to make the bottom of the through silicon hole 233 gradually move toward the first bonding pad. A bonding pad 133 is approached until the first bonding pad 133 is exposed; next, a seed layer connected to the first bonding pad 133 is sputtered on the inner surface of the through silicon hole 233; finally, on the surface of the seed layer Metal plating is performed to prepare a metal filler 235 for electrically interconnecting the first wafer 100 and the second wafer 200. In the method of the embodiment of the present application, no additional dielectric etching is generated during the etching of the through-silicon via 233, only the wafer body silicon is etched, and there is no need to select the etching selection ratio for different materials, which avoids Because of the improper etching selection ratio, the fin-type (Fin) etching morphology and drum-type etch morphology are formed in the dielectric layer, so that the continuity of the seed layer and the metal filler 235 is ensured, and the first crystal is improved. The reliability of the connection between the circle 100 and the second wafer 200.
本申请实施例中,硅通孔233可以采用先通孔(via-first)、中通孔(via-middle)或后通孔(via-last)等不同的工艺制备;其中,先通孔工艺是指在制备第二晶圆200的第二再布线层之前制备硅通孔233,中通孔工艺是指在制备第二再布线层的过程中制备硅通孔233;后通孔工艺是指在制备第二再布线层之后制备硅通孔233;本申请实施例对上述硅通孔233的制造工艺不做具体限定。In the embodiment of the present application, the through-silicon via 233 can be prepared by different processes such as via-first, via-middle, or via-last; among them, the via-first process It means that the through silicon via 233 is prepared before the second rewiring layer of the second wafer 200 is prepared. The middle through hole process refers to the preparation of the through silicon via 233 in the process of preparing the second rewiring layer; the back through hole process refers to After preparing the second rewiring layer, the through silicon via 233 is prepared; the embodiment of the present application does not specifically limit the manufacturing process of the above through silicon via 233.
步骤S104,在第二晶圆的有源面制备第二再布线层,第二再布线层设置有多个金属垫盘,多个金属垫盘与第二再布线层的金属布线电连接;每个硅通孔的位于第二晶圆的有源面的一端与所述多个金属垫盘中的至少一个连接。Step S104, preparing a second rewiring layer on the active surface of the second wafer, the second rewiring layer is provided with a plurality of metal pads, and the plurality of metal pads are electrically connected to the metal wiring of the second rewiring layer; One end of the through silicon via located on the active surface of the second wafer is connected to at least one of the plurality of metal pads.
如图16所示,第二再布线层230由介电层231和金属布线232组成。其中,介电层231可以使用PECVD方法在第二晶圆200的有源面210沉积二氧化硅等材料而形成;金属布线232可以与金属垫盘234共同制备,例如使用大马士革工艺在介电层231上蚀刻金属布线232和金属垫盘234用的图膜,然后再金属填料以形成金属布线232和金属垫盘234,或者使用电镀方式在介电层制备金属布线232和金属垫盘234。需要注意的是,最终制备完成的金属垫盘234需要与步骤S103制备的金属填料235连接,至此,第一键合盘133与金属垫盘234通过金属填料235电连接,使第一晶圆100和第二晶圆200建立了电气互连通道。As shown in FIG. 16, the second rewiring layer 230 is composed of a dielectric layer 231 and metal wiring 232. Wherein, the dielectric layer 231 can be formed by depositing silicon dioxide and other materials on the active surface 210 of the second wafer 200 using a PECVD method; the metal wiring 232 can be prepared together with the metal pad 234, for example, a Damascus process is used on the dielectric layer. The pattern film for the metal wiring 232 and the metal pad 234 is etched on the 231, and then metal filler is used to form the metal wiring 232 and the metal pad 234, or the metal wiring 232 and the metal pad 234 are prepared on the dielectric layer by electroplating. It should be noted that the final prepared metal pad 234 needs to be connected to the metal filler 235 prepared in step S103. So far, the first bonding pad 133 and the metal pad 234 are electrically connected through the metal filler 235 to make the first wafer 100 An electrical interconnection channel is established with the second wafer 200.
需要补充说明的是,如果第二晶圆200是芯片的最上层晶圆,那么第二再布线层230可以设置有焊球236,通过焊球236可以将封装后的芯片安装到外部基板或者印刷电路板上,使芯片与外部的基板或者印刷电路板PCB形成电气连接。It should be supplemented that if the second wafer 200 is the uppermost wafer of the chip, the second rewiring layer 230 may be provided with solder balls 236, through which the packaged chip can be mounted on an external substrate or printed On the circuit board, the chip is electrically connected to an external substrate or a printed circuit board PCB.
本申请实施例的芯片堆叠结构的制作方法,将第一晶圆100的第一再布线层130 和第二晶圆200的无源面220直接键合连接,因此不需要在键合表面制备额外的介电层或者使用焊球,能够使第一晶圆100和第二晶圆200堆叠之后的厚度至少缩小上述额外的介电层和焊球产生的厚度,使芯片封装后的尺寸更小,更轻薄。并且,省去了额外的介电层之后,第一晶圆100和第二晶圆200堆叠后的热阻减小,有利于热量在晶圆之间传递,提高了芯片的散热性能。另外,第一晶圆100的第一再布线层130还设置有裸露的第一键合盘133,第二晶圆200还设置有与第一键合盘133连接的硅通孔233,使得第一晶圆100和第二晶圆200可以通过硅通孔233直接电气互连,不需要借助焊球和刻蚀介电层,因此结构简单、工艺步骤简化、连接可靠性高。In the method of manufacturing the chip stack structure of the embodiment of the present application, the first rewiring layer 130 of the first wafer 100 and the passive surface 220 of the second wafer 200 are directly bonded and connected, so there is no need to prepare additional bonding surfaces on the bonding surface. The dielectric layer or the use of solder balls can reduce the thickness of the first wafer 100 and the second wafer 200 after stacking at least the thickness produced by the additional dielectric layer and solder balls, so that the package size of the chip is smaller. Thinner and lighter. In addition, after the additional dielectric layer is omitted, the thermal resistance after the first wafer 100 and the second wafer 200 are stacked is reduced, which facilitates the transfer of heat between the wafers and improves the heat dissipation performance of the chip. In addition, the first rewiring layer 130 of the first wafer 100 is also provided with a bare first bonding pad 133, and the second wafer 200 is also provided with a through silicon via 233 connected to the first bonding pad 133, so that the first The first wafer 100 and the second wafer 200 can be directly electrically interconnected through the through silicon vias 233, without the use of solder balls and etched dielectric layers, so the structure is simple, the process steps are simplified, and the connection reliability is high.
在一种可选择的实施方式中,如图17所示,第二晶圆200的无源面220还可以制备有第一介电层237。当第二晶圆200的无源面220制备有第一介电层237时,步骤S102被具体实现为:第二晶圆200的第一介电层237与第一晶圆100的再布线层130、第一键合盘133和第二键合盘134键合连接。第一介电层237可使用高导热材料制备,例如碳化硅、金刚石、石墨烯或氮化硅等,以降低第一晶圆100和第二晶圆200之间的热阻,提高芯片封装之后的散热性能。In an alternative embodiment, as shown in FIG. 17, the passive surface 220 of the second wafer 200 may also be prepared with a first dielectric layer 237. When the passive surface 220 of the second wafer 200 is prepared with the first dielectric layer 237, step S102 is specifically implemented as: the first dielectric layer 237 of the second wafer 200 and the rewiring layer of the first wafer 100 130. The first bonding pad 133 and the second bonding pad 134 are bonded and connected. The first dielectric layer 237 can be made of a high thermal conductivity material, such as silicon carbide, diamond, graphene, or silicon nitride, etc., to reduce the thermal resistance between the first wafer 100 and the second wafer 200, and improve the chip package after the chip is packaged. The heat dissipation performance.
本申请实例以步骤S101~步骤S104作为基本步骤,制备得到了双层芯片堆叠结构。容易理解的是,上述基本步骤还可以被全部或者部分地重复执行,或者以组合、拆分的方式重复执行,以制备多层芯片堆叠结构,这些实现方式均没有超出本申请实施例的保护范围。In the example of the present application, steps S101 to S104 are used as basic steps to prepare a two-layer chip stack structure. It is easy to understand that the above-mentioned basic steps can also be repeated in whole or in part, or repeated in combination and split to prepare a multi-layer chip stack structure, and these implementations do not exceed the protection scope of the embodiments of the present application. .
本申请实施例还提供了一种计算机芯片,该计算机芯片包括但不限于中央处理器(central processing unit,CPU)、图形处理器(graphics processing unit,GPU)、系统芯片syetem on chip,SOC)、3D NAND芯片、堆叠式动态随机存取存储器(stacked dynamic random access memory,stacked DRAM)和高带宽内存(high-bandwidth memory,HBM)等,上述计算机芯片例如可以包括基板,以及在基板上封装的多层晶圆,其中,该多层晶圆中的部分或者全部地包含了本申请各实施例之一的芯片堆叠结构。The embodiment of the present application also provides a computer chip. The computer chip includes, but is not limited to, a central processing unit (CPU), a graphics processing unit (GPU), a system chip on chip, SOC), 3D NAND chips, stacked dynamic random access memory (stacked DRAM), high-bandwidth memory (HBM), etc., the above-mentioned computer chip may include a substrate, and many packages are A multi-layer wafer, wherein part or all of the multi-layer wafer includes the chip stack structure of one of the embodiments of the present application.
本申请实施例还提供了一种电子设备,该电子设备包括但不限于显示卡(graphics card)、固态硬盘(solid-state drive,SSD)、闪存盘(USB flash drive)、手机、个人电脑、服务器、工作站等。该电子设备包括至少一个印刷电路板PCB,以及设置在上述至少一个印刷电路板的至少一个计算机芯片,其中,上述至少一个计算机芯片中的部分或者全部地包含了本申请各实施例之一的芯片堆叠结构。The embodiment of the application also provides an electronic device, which includes but is not limited to a graphics card (graphics card), a solid-state drive (SSD), a flash drive (USB flash drive), a mobile phone, a personal computer, Servers, workstations, etc. The electronic device includes at least one printed circuit board PCB, and at least one computer chip arranged on the at least one printed circuit board, wherein part or all of the at least one computer chip includes the chip of one of the embodiments of the present application Stacked structure.

Claims (14)

  1. 一种芯片堆叠结构,其特征在于,包括:A chip stacking structure, characterized in that it comprises:
    第一晶圆,所述第一晶圆的有源面设置有第一再布线层;A first wafer, the active surface of the first wafer is provided with a first rewiring layer;
    多个第一键合盘,所述多个第一键合盘裸露于所述第一再布线层的平行于所述第一晶圆的表面,所述多个第一键合盘与所述第一再布线层的金属布线电连接;A plurality of first bonding pads, the plurality of first bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer, and the plurality of first bonding pads are connected to the The metal wiring of the first rewiring layer is electrically connected;
    第二晶圆,所述第二晶圆与所述第一晶圆堆叠设置,所述第二晶圆的无源面与所述第一再布线层和所述多个第一键合盘键合连接;所述第二晶圆设置有多个硅通孔,每个所述硅通孔的位于所述第二晶圆的无源面的第一端与所述多个第一键合盘中的至少一个连接。The second wafer, the second wafer and the first wafer are stacked, and the passive surface of the second wafer is bonded to the first rewiring layer and the plurality of first bonding pads Bonding connection; the second wafer is provided with a plurality of through silicon vias, and the first end of each of the through silicon vias located on the passive surface of the second wafer and the plurality of first bonding pads At least one of the connections.
  2. 根据权利要求1所述的芯片堆叠结构,其特征在于,还包括:The chip stack structure according to claim 1, further comprising:
    多个第二键合盘,所述多个第二键合盘裸露于所述第一再布线层的平行于所述第一晶圆的表面,所述多个第二键合盘与所述第二晶圆的无源面键合连接,所述多个第二键合盘与所述第一再布线层的金属布线电连接。A plurality of second bonding pads, the plurality of second bonding pads are exposed on the surface of the first rewiring layer parallel to the first wafer, and the plurality of second bonding pads are connected to the The passive surface bonding of the second wafer is connected, and the plurality of second bonding pads are electrically connected to the metal wiring of the first rewiring layer.
  3. 根据权利要求1所述的芯片堆叠结构,其特征在于,The chip stack structure according to claim 1, wherein:
    所述第二晶圆的有源面设置有第二再布线层,所述第二再布线层设置有多个金属垫盘,所述多个金属垫盘与所述第二再布线层的金属布线电连接;每个所述硅通孔的位于所述第二晶圆的有源面的一端与所述多个金属垫盘中的至少一个连接。The active surface of the second wafer is provided with a second rewiring layer, the second rewiring layer is provided with a plurality of metal pads, the plurality of metal pads and the metal of the second rewiring layer The wiring is electrically connected; one end of each of the through silicon vias located on the active surface of the second wafer is connected to at least one of the plurality of metal pads.
  4. 根据权利要求3所述的芯片堆叠结构,其特征在于,The chip stack structure according to claim 3, wherein:
    每个所述硅通孔内填充有金属填料,所述金属填料用于将其连接的所述第一键合盘与所述金属垫盘电连接。Each of the through silicon vias is filled with a metal filler, and the metal filler is used to electrically connect the first bonding pad to which it is connected to the metal pad.
  5. 根据权利要求4所述的芯片堆叠结构,其特征在于,The chip stack structure according to claim 4, wherein:
    所述金属填料是通过在所述硅通孔内表面溅射形成与所述第一键合盘连接的晶种层,以及在所述晶种层表面进行金属电镀得到的。The metal filler is obtained by sputtering on the inner surface of the through silicon hole to form a seed layer connected to the first bonding pad, and performing metal electroplating on the surface of the seed layer.
  6. 根据权利要求2所述的芯片堆叠结构,其特征在于,The chip stack structure according to claim 2, wherein:
    所述第二晶圆的无源面设置有第一介电层,所述第二晶圆的无源面通过所述第一介电层与所述第一再布线层、所述多个第一键合盘和所述多个第二键合盘键合连接。The passive surface of the second wafer is provided with a first dielectric layer, and the passive surface of the second wafer passes through the first dielectric layer, the first rewiring layer, and the plurality of second wafers. A bonding pad is bonded to the plurality of second bonding pads.
  7. 一种芯片堆叠结构的制作方法,其特征在于,包括:A manufacturing method of a chip stack structure, characterized in that it comprises:
    在第一晶圆的有源面制备第一再布线层和多个第一键合盘,所述多个第一键合盘裸露设置于所述第一再布线层的平行于所述第一晶圆的表面,所述多个第一键合盘与所述第一再布线层的金属布线电连接;A first rewiring layer and a plurality of first bonding pads are prepared on the active surface of the first wafer, and the plurality of first bonding pads are exposed and disposed on the first rewiring layer parallel to the first On the surface of the wafer, the plurality of first bonding pads are electrically connected to the metal wiring of the first rewiring layer;
    将第二晶圆的无源面与所述第一再布线层和所述多个第一键合盘键合连接;Bonding the passive surface of the second wafer to the first rewiring layer and the plurality of first bonding pads;
    在所述第二晶圆刻蚀形成多个硅通孔,每个所述硅通孔的位于所述第二晶圆的无源面的一端与所述多个第一键合盘中的至少一个连接。The second wafer is etched to form a plurality of through silicon holes, and one end of each of the through silicon holes located on the passive surface of the second wafer is connected to at least one of the plurality of first bonding pads. A connection.
  8. 根据权利要求7所述的方法,其特征在于,所述在第一晶圆的有源面制备第一 再布线层和多个第一键合盘,包括:8. The method of claim 7, wherein the preparing a first rewiring layer and a plurality of first bonding pads on the active surface of the first wafer comprises:
    在所述第一晶圆的有源面制备大于预设目标厚度的所述第一再布线层,以及隐藏在所述第一再布线层内的所述多个第一键合盘;Preparing the first rewiring layer larger than a preset target thickness on the active surface of the first wafer, and the plurality of first bonding pads hidden in the first rewiring layer;
    通过去除材料加工,将所述第一再布线层减薄至所述目标厚度,使所述多个第一键合盘裸露于所述第一再布线层的平行于所述第一晶圆的表面。Through material removal processing, the first rewiring layer is thinned to the target thickness, so that the plurality of first bonding pads are exposed on the first rewiring layer parallel to the first wafer surface.
  9. 根据权利要求8所述的方法,其特征在于,还包括:The method according to claim 8, further comprising:
    在所述第一晶圆的有源面制备隐藏在所述第一再布线层内的多个第二键合盘,当所述第一再布线层减薄至所述目标厚度时,所述多个第二键合盘裸露于所述第一再布线层表面。A plurality of second bonding pads hidden in the first rewiring layer are prepared on the active surface of the first wafer, and when the first rewiring layer is thinned to the target thickness, the A plurality of second bonding pads are exposed on the surface of the first rewiring layer.
  10. 根据权利要求7所述的方法,其特征在于,所述在所述第二晶圆刻蚀形成多个硅通孔,每个所述硅通孔的位于所述第二晶圆的无源面的一端与所述多个第一键合盘中的至少一个连接,包括:8. The method of claim 7, wherein the second wafer is etched to form a plurality of through silicon vias, and each of the through silicon vias is located on the passive surface of the second wafer One end of is connected with at least one of the plurality of first bonding pads, including:
    在所述第二晶圆的有源面确定至少一个第一键合盘的投影位置;Determining the projection position of at least one first bonding pad on the active surface of the second wafer;
    垂直于所述第二晶圆的有源面,从所述投影位置开始向所述第一键合盘方向刻蚀形成所述硅通孔,直至所述硅通孔与所述至少一个第一键合盘相接触。Perpendicular to the active surface of the second wafer, the through silicon via is etched from the projection position in the direction of the first bonding pad until the through silicon via and the at least one first The bonding pads are in contact.
  11. 根据权利要求7-10任一项所述的方法,其特征在于,还包括:The method according to any one of claims 7-10, further comprising:
    在所述第二晶圆的有源面制备第二再布线层,所述第二再布线层设置有多个金属垫盘,所述多个金属垫盘与所述第二再布线层的金属布线电连接;每个所述硅通孔的位于所述第二晶圆的有源面的一端与所述多个金属垫盘中的至少一个连接。A second rewiring layer is prepared on the active surface of the second wafer, the second rewiring layer is provided with a plurality of metal pads, and the metal of the second rewiring layer is The wiring is electrically connected; one end of each of the through silicon vias located on the active surface of the second wafer is connected to at least one of the plurality of metal pads.
  12. 根据权利要求11所述的方法,其特征在于,还包括:The method according to claim 11, further comprising:
    在所述硅通孔内表面通过表面溅射形成与所述第一键合盘连接的晶种层;Forming a seed layer connected to the first bonding pad on the inner surface of the through silicon via by surface sputtering;
    在所述晶种层表面进行金属电镀形成金属填料,所述金属填料将所述第一键合盘与所述金属垫盘电连接。Metal plating is performed on the surface of the seed layer to form a metal filler, and the metal filler electrically connects the first bonding pad with the metal pad.
  13. 根据权利要求8所述的方法,其特征在于,所述将第二晶圆的无源面与所述第一再布线层和所述多个第一键合盘键合连接,包括:8. The method according to claim 8, wherein the bonding and connecting the passive surface of the second wafer with the first rewiring layer and the plurality of first bonding pads comprises:
    在所述第二晶圆的无源面制备第一介电层;Preparing a first dielectric layer on the passive surface of the second wafer;
    将所述第一介电层与所述第一再布线层、所述第一键合盘和所述第二键合盘键合连接。Bonding the first dielectric layer to the first rewiring layer, the first bonding pad and the second bonding pad.
  14. 一种电子设备,其特征在于,包括印刷电路板PCB,以及设置在所述印刷电路板的至少一个计算机芯片,所述至少一个计算机芯片的部分或者全部具有权利要求1-6任一项所述的芯片堆叠结构。An electronic device, characterized by comprising a printed circuit board PCB, and at least one computer chip arranged on the printed circuit board, and part or all of the at least one computer chip has any one of claims 1 to 6 The chip stack structure.
PCT/CN2019/125668 2019-12-16 2019-12-16 Chip stack structure and manufacturing method therefor WO2021119924A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
PCT/CN2019/125668 WO2021119924A1 (en) 2019-12-16 2019-12-16 Chip stack structure and manufacturing method therefor
CN201980102829.3A CN114762103A (en) 2019-12-16 2019-12-16 Chip stacking structure and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/125668 WO2021119924A1 (en) 2019-12-16 2019-12-16 Chip stack structure and manufacturing method therefor

Publications (1)

Publication Number Publication Date
WO2021119924A1 true WO2021119924A1 (en) 2021-06-24

Family

ID=76478087

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2019/125668 WO2021119924A1 (en) 2019-12-16 2019-12-16 Chip stack structure and manufacturing method therefor

Country Status (2)

Country Link
CN (1) CN114762103A (en)
WO (1) WO2021119924A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113764288A (en) * 2021-08-02 2021-12-07 苏州通富超威半导体有限公司 Chip packaging method and packaging structure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100058580A1 (en) * 2008-09-06 2010-03-11 Farhang Yazdani Stacking Integrated Circuits containing Serializer and Deserializer Blocks using Through Silicon Via
CN103296008A (en) * 2012-02-22 2013-09-11 中国科学院微电子研究所 TSV or TGV pinboard, 3D packaging and manufacture method thereof
CN105428260A (en) * 2015-12-22 2016-03-23 成都锐华光电技术有限责任公司 Manufacturing method of carrier-based fan-out 2.5D/3D package structure
CN105428331A (en) * 2015-12-22 2016-03-23 成都锐华光电技术有限责任公司 Carrier-based fan-out 2.5D/3D package structure
CN107195607A (en) * 2017-07-03 2017-09-22 京东方科技集团股份有限公司 A kind of chip packaging method and chip-packaging structure
CN107768344A (en) * 2017-10-26 2018-03-06 睿力集成电路有限公司 Semiconductor packaging system integrating apparatus and its manufacture method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100058580A1 (en) * 2008-09-06 2010-03-11 Farhang Yazdani Stacking Integrated Circuits containing Serializer and Deserializer Blocks using Through Silicon Via
CN103296008A (en) * 2012-02-22 2013-09-11 中国科学院微电子研究所 TSV or TGV pinboard, 3D packaging and manufacture method thereof
CN105428260A (en) * 2015-12-22 2016-03-23 成都锐华光电技术有限责任公司 Manufacturing method of carrier-based fan-out 2.5D/3D package structure
CN105428331A (en) * 2015-12-22 2016-03-23 成都锐华光电技术有限责任公司 Carrier-based fan-out 2.5D/3D package structure
CN107195607A (en) * 2017-07-03 2017-09-22 京东方科技集团股份有限公司 A kind of chip packaging method and chip-packaging structure
CN107768344A (en) * 2017-10-26 2018-03-06 睿力集成电路有限公司 Semiconductor packaging system integrating apparatus and its manufacture method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113764288A (en) * 2021-08-02 2021-12-07 苏州通富超威半导体有限公司 Chip packaging method and packaging structure

Also Published As

Publication number Publication date
CN114762103A (en) 2022-07-15

Similar Documents

Publication Publication Date Title
US10971417B2 (en) 3D stacked-chip package
US9711379B2 (en) 3D stacked-chip package
US11676902B2 (en) Semiconductor package including interposer
US11587909B2 (en) High bandwidth die to die interconnect with package area reduction
US9698081B2 (en) 3D chip-on-wafer-on-substrate structure with via last process
US9831184B2 (en) Buried TSVs used for decaps
CN101483149A (en) Production method for through wafer interconnection construction
US11488902B2 (en) Split substrate interposer
US20230352386A1 (en) Interposer and semiconductor package including same
KR20120061609A (en) Integrated circuit chip and method of manufacturing the same
WO2021119924A1 (en) Chip stack structure and manufacturing method therefor
TW202406018A (en) Interconnecting structure with high aspect ratio tsv and method for forming the same
US9899314B2 (en) Semiconductor substrate and fabrication method thereof
KR20210053537A (en) A semiconductor package
CN111883498B (en) DRAM chip three-dimensional integrated system and preparation method thereof
US20230343677A1 (en) Semiconductor structure having through substrate via and manufacturing method thereof
TWI575688B (en) Through silicon via process
US20240113070A1 (en) Integrating devices into a carrier wafer for three dimensionally stacked semiconductor devices
CN210052731U (en) Semiconductor structure
WO2022067804A1 (en) Three-dimensional integrated circuit and method for fabrication thereof and electronic device
CN115602610A (en) Semiconductor structure and preparation method thereof
CN112864118A (en) Active TSV adapter plate structure and manufacturing method thereof
TW202405960A (en) Thermal management structure and a method of manufacturing thermal management structure
CN111769089A (en) Semiconductor structure and manufacturing method thereof
CN112219276A (en) Chip and chip packaging method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19956512

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19956512

Country of ref document: EP

Kind code of ref document: A1