WO2019209381A1 - Tungsten deposition without barrier layer - Google Patents

Tungsten deposition without barrier layer Download PDF

Info

Publication number
WO2019209381A1
WO2019209381A1 PCT/US2018/065151 US2018065151W WO2019209381A1 WO 2019209381 A1 WO2019209381 A1 WO 2019209381A1 US 2018065151 W US2018065151 W US 2018065151W WO 2019209381 A1 WO2019209381 A1 WO 2019209381A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
metal
precursor
equal
substrate
Prior art date
Application number
PCT/US2018/065151
Other languages
English (en)
French (fr)
Inventor
Yihong Chen
Yong Wu
Chia Cheng CHIN
Srinivas Gandikota
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/961,363 external-priority patent/US10468263B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN201880092720.1A priority Critical patent/CN112041969A/zh
Priority to JP2020558579A priority patent/JP2021522411A/ja
Priority to KR1020207033793A priority patent/KR102489425B1/ko
Priority to SG11202009514WA priority patent/SG11202009514WA/en
Publication of WO2019209381A1 publication Critical patent/WO2019209381A1/en
Priority to JP2022185838A priority patent/JP2023036583A/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Definitions

  • the present disclosure generally relates to methods of depositing thin films.
  • the disclosure relates to methods of depositing tungsten or tungsten- containing films.
  • CVD Chemical vapor deposition
  • a variant of CVD that demonstrates excellent step coverage is cyclical deposition or atomic layer deposition (ALD).
  • Cyclical deposition is based upon atomic layer epitaxy (ALE) and employs chemisorption techniques to deliver precursor molecules on a substrate surface in sequential cycles.
  • the cycle exposes the substrate surface to a first precursor, a purge gas, a second precursor and the purge gas.
  • the first and second precursors react to form a product compound as a film on the substrate surface.
  • the cycle is repeated to form the layer to a desired thickness.
  • Amorphous silicon is widely used in semiconductor devices, fiat-panel displays, and solar ceils. There remains a key technical challenge for the development of amorphous silicon deposition process with conformality (i.e., good step coverage) or gap-fill performance in high aspect-ratio features.
  • Conventional LPCVD process is limited to high temperature ⁇ >550 e C) and low pressure, and, therefore, exhibits poor step coverage and/or gap-fill performance; PECVD process also does not give good step coverage and/or gap-full performance.
  • ALD processes deposit tungsten films into vias containing high aspect ratios [e.g , 20), whereas conventional CVD processes will usually cause similar vias to "pinch-off and not completely fill. Additionally, tungsten does not readily adhere to some surfaces (e.g., dielectric spacers or oxides). To increase the adhesion of tungsten to dielectric spacers, conventional processes include a TIN layer. The deposition of the TiN film as a seed layer can be time consuming and adds additional complexity to the overall process.
  • ALD atomic layer deposition
  • One or more embodiments of the disclosure are directed to a processing method.
  • the method comprises exposing a substrate surface to a boron precursor to form an amorphous boron layer.
  • the substrate surface is substantially free of a barrier layer.
  • the amorphous boron layer is exposed to a first metal precursor to convert the amorphous boron layer to a first metal layer.
  • a second metal layer is formed on the first metal layer by exposing the first metal layer to a second metal precursor.
  • Additional embodiments of the disclosure are directed to a processing method.
  • the method comprises exposing a substrate surface to a silicon precursor to form an amorphous silicon layer.
  • the substrate surface is substantially free of a barrier layer.
  • the amorphous silicon layer is exposed to a first metal precursor to convert the amorphous silicon layer to a first metal layer.
  • a second metal layer is formed on the first metal layer by exposing the first metal layer to a second metal precursor.
  • FIG. 1 For embodiments of the disclosure, further embodiments of the disclosure are directed to a processing method.
  • the method comprises exposing a substrate surface to a silicon precursor and a boron precursor to form an amorphous layer comprising silicon and boron.
  • the substrate surface is substantially free of a barrier layer.
  • the amorphous layer is exposed to a first metal precursor to convert the amorphous layer to a first metal layer.
  • a second metal layer is formed on the first metal layer by exposing the first etal layer to a second metal precursor.
  • FIG. 1 shows schematic of a film stack in accordance with one or more embodiment of the disclosure
  • FIG. 2A shows a process scheme in accordance with one or more embodiment of the disclosure
  • FIG. 2B shows a schematic of a film stack in accordance with the process scheme of FIG. 2A;
  • FIG. 3 shows a process scheme in accordance with one or more embodiment of the disclosure
  • FIG. 4A shows a process scheme In accordance with one or more embodiment of the disclosure
  • FIG. 4B shows a schematic of a film stack in accordance with the process scheme of FIG. 4A;
  • FIG. 5 shows schematic of a film stack in accordance with one or more embodiment of the disclosure
  • FIG. 6A shows a process scheme in accordance with one or more embodiment of the disclosure
  • FIG 8B shows a schematic of a film stack in accordance with the process scheme of FIG. 6A;
  • FIG. 7 shows a process scheme in accordance with one or more embodiment of the disclosure
  • FIG. 8A shows a process scheme in accordance with one or more embodiment of the disclosure.
  • FIG. SB shows a schematic of a film stack in accordance with the process scheme of FIG. 8A.
  • FIG. 9A shows a process scheme in accordance with one or more embodiment of the disclosure.
  • FIG 9B shows a schematic of a film stack in accordance with the process scheme of FIG. 9A.
  • a "substrate surface”, as used herein, refers to any portion of a substrate or portion of a material surface formed on a substrate upon which film processing is performed.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride.
  • a substrate surface may also include dielectric materials such as silicon dioxide and carbon doped silicon oxides.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes in some embodiments, the substrate comprises a rigid discrete material.
  • Atomic layer deposition or “cyclical deposition” as used herein refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • reactive compound “reactive gas”,“reactive species”,“precursor”,“process gas” and the like are used interchangeably to mean a substance with a species capable of reacting with the substrate surface or material on the substrate surface in a surface reaction (e.g., chemisorption, oxidation, reduction).
  • the substrate or portion of the substrate is exposed sequentially to the two or more reactive compounds which are introduced into a reaction zone of a processing chamber in a time-domain ALD process, exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface.
  • a spatial ALD process different portions of the substrate surface, or material on the substrate surface, are exposed simultaneously to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously.
  • the term“substantially” used in this respect means, as will be understood by those skilled in the art, that there is the possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion, and that the simultaneous exposure is unintended.
  • a first reactive gas i.e., a first precursor or compound A
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as argon
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
  • a first reactive gas and second reactive gas e.g., hydrogen radicals
  • first reactive gas and second reactive gas are delivered simultaneously to the reaction zone but are separated by an inert gas curtain and/or a vacuum curtain.
  • the substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.
  • One or more embodiments of the disclosure are directed to methods of depositing a conformal amorphous silicon thin film as one or more of a glue layer, a fluorine diffusion barrier and/or a nucleation layer for metal ALD (e.g., tungsten deposition). While tungsten deposition is referred to in many embodiments, those skilled in the art will understand that other metals (e.g., Mo) could be deposited by the disclosed process. The disclosure is not limited to ALD tungsten deposition.
  • a stack 10 may include a substrate 12 (e.g., Si0 2 ) with a glue layer 14 (e.g., TIN), a nucleation layer 16 (e.g., WSi x and
  • a nucleation layer is replaced with an amorphous silicon layer.
  • the nucleation layer is replaced with an amorphous silicon layer and the TIN glue layer is made thinner.
  • both the nucleation layer and glue layer are replaced with an amorphous silicon layer.
  • one or more embodiments of the disclosure are directed processing methods 300 and film stacks 200.
  • a substrate 220 having a substrate surface 222 is provided in step 310.
  • the amorphous silicon (a-Si) formation can be achieved by maximizing the partial pressure oi the silicon precursor while minimizing the wafer temperature.
  • Suitable silicon precursors include, but are not limited to, poly silanes ( S i x 1 1 y ) .
  • disilane which has a moderate processing temperature and high vapor pressure, may be used as the silicon precursor alone or in combination with other species.
  • the silicon precursor comprises substantially only disilane.
  • substantially only disilane means that at least 95% of the active species is disilane.
  • Other gases, such as carrier gases and inert gases, can be included in any amount.
  • the thickness of the amorphous silicon layer 240 can vary depending on, for example, the substrate surface and subsequent films and processes in some embodiments, the amorphous silicon layer 240 has a thickness in the range of about 1 A to about 100 A. in one or more embodiments, the amorphous silicon layer 240 has a thickness in the range of about 1 A to about 50 A, or in the range of about 10 A to about 40 A, or in the range of about 30 A to about 40 A. in some embodiments, the thickness of the amorphous silicon layer 240 is greater than 0 A and less than or equal to about 50 A, 45 A or 40 A. [0040] A metal layer 280 is formed on the amorphous silicon layer 240 in step 330.
  • the metal layer 280 can be formed by any suitable technique including, but not limited to, atomic layer deposition (ALD), plasma-enhanced atomic layer deposition (PE- ALD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PE-CVD) and physical vapor deposition (PVD).
  • ALD atomic layer deposition
  • PE- ALD plasma-enhanced atomic layer deposition
  • CVD chemical vapor deposition
  • PE-CVD plasma-enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • the metal layer 280 can comprise any suitable metal.
  • the metal layer 280 comprises one or more of tungsten or molybdenum in some embodiments, the metal layer 280 consists essentially of tungsten. In some embodiments, the metal layer 280 consists essentially of molybdenum.
  • "consists essentially of" means that the metal layer 280 is greater than or equal to about 80, 85, 90 or 95 atomic % of the specified component.
  • a metal layer 280 consisting essentially of tungsten has a composition that is greater than or equal to about, for example, 90 atomic % tungsten.
  • the metal layer 280 is deposited by CVD.
  • a metal precursor and a reactant may be coflowed into a processing chamber to deposit a layer on the substrate. The precursor and reactant are allowed to react in the gas phase.
  • the metal layer 280 is deposited by ALD. In some embodiments, the metal layer 280 is deposited by sequentially exposing the amorphous silicon layer 240 to a metal precursor and a reactant
  • the metal precursor can be any suitable precursor that can be used to deposit a metal film.
  • the metal precursor comprises a metal selected from tungsten, molybdenum and combinations thereof.
  • the metal precursor comprises one or more of WF 6 and MoF 6 .
  • the metal precursor is a fluorine containing precursor. It is known that fluorine may etch silicon surface.
  • the reactant can be any suitable reactant that is able to react with a species formed on the surface.
  • a species formed on the surface For example, if WF 6 is used as the precursor, there will be - WF X species on the surface.
  • the reactant is able to react with the -WF X species to produce a W film.
  • FIG. 3 shows a process flow for another embodiment of the disclosure in which a degas process 325 is included after formation of the amorphous silicon layer 240.
  • the amorphous silicon layer 240 in some embodiments is exposed to a degas environment to remove outgassed species prior to forming the metal layer 280.
  • the deposited amorphous silicon layer 240 may evolve or outgas a species, for example, hydrogen.
  • the degas environment provides an opportunity for the gaseous species to evolve, minimizing bubbling of the final film.
  • the degas environment can include any condition with allows or encourages degassing of the film.
  • the degas environment may consists essentially of an inert gas.
  • "consists essentially of means that there is no gaseous species that interfere with the outgassing of the deposited film.
  • Other reactive species may be present without inhibiting degassing of the film while still consisting essentially of an inert gas.
  • Suitable inert gases include, but are not limited to, one or more of argon, helium, nitrogen, and/or mixtures thereof.
  • the outgassed species comprises hydrogen, SiH 2 , SiH 3 , SiH 4 , and/or other low-order silanes.
  • the pressure in the processing chamber, or region of the processing chamber can be independently controlled for the precursor exposure and degas environment.
  • exposure to each of the silicon precursor and the degas environment occurs at a pressure in the range of about 10 m l orr to about 100 Torr.
  • the silicon precursor is exposed to the substrate at a pressure greater than or equal to about 500 mTorr, or greater than or equal to about 1 Torr, or greater than or equal to about 5 Torr, or greater than or equal to about 10 Torr, or greater than or equal to about 20 Torr, or greater than or equal to about 30 Torr.
  • the temperature at which the substrate surface is exposed to the precursor or degas environment can be varied depending on, for example, the thermal budget of the device being formed and the precursor. In some embodiments, exposure to each of the precursor and the degas environment occurs at a temperature in the range of about 100 9 C to about 700 9 C. In one or more embodiments, the silicon halide precursor is exposed to the substrate at a temperature in the range of about 250 B C to about 600 9 C, or in the range of about 400 9 C to about 550 e C.
  • the thickness of the a-Si film formed with the silicon precursor before exposure to the degas environment can be modified.
  • each exposure to the silicon precursor and the degas environment grows a film with a thickness in the range of about 5 to about 20A.
  • the precursor and degas environments can be repeatedly sequentially exposed to the substrate surface to form a film wifh a predetermined thickness.
  • the amorphous silicon film has a total thickness in the range of about 10OA to about 1 pm.
  • a-Si is deposited by disiiane at a wafer temperature less than about 450 9 C, and disilane partial pressure is greater than or equal to about 20 Torr.
  • the substrate is exposed to the silicon precursor at a pressure greater than or equal to about 20 Torr at a temperature in the range of about 400 e C to about 550 9 C.
  • some embodiments of the disclosure further comprise step 360 in which a glue layer 260 is deposited on the substrate before forming the amorphous silicon layer 240.
  • the glue layer 280 is a layer that the amorphous silicon can stick to with less likelihood of peeling than if the amorphous silicon layer was deposited directly onto the substrate 220.
  • the glue layer comprises TIN.
  • the substrate 220 has a silicon oxide surface and the glue layer comprises TIN.
  • the thickness of the glue layer 260 can vary depending on the substrate and the thickness of amorphous silicon to be deposited.
  • the glue layer 260 has a thickness in the range of about 1 A to about 30 A, or in the range ot about 5 A to about 30 A.
  • the glue layer 280 has a thickness less than or equal to about 20 A, or less than or equal to about 15 A or less than or equal to about 10 A or less than or equal to about 5 A.
  • one or more embodiments of the disclosure are directed to stacks 200 comprising a substrate 220 having an oxide surface 222.
  • a glue layer 260 is on the oxide surface 222.
  • the glue layer of some embodiments comprises TIN with a thickness in the range of about 5 A to about 30 A.
  • An amorphous silicon layer 240 is on the glue layer 260 and has a thickness in the range of about 5 A to about 50 A.
  • a metal layer 280 is on the amorphous silicon layer 240 and comprises one or more of tungsten and molybdenum.
  • One or more embodiments of the disclosure are directed to methods of depositing a conformal doped amorphous silicon thin film as one or more of a glue layer, a fluorine diffusion barrier and/or a nucleation layer for metal deposition (e.g., AID tungsten deposition). While tungsten deposition is referred to in many embodiments, those skilled in the art will understand that other metals (e.g., Mo) could be deposited by the disclosed process. The disclosure is not limited to ALD tungsten deposition, ALD deposition or tungsten deposition.
  • a stack 10 may include a substrate 12 (e.g., Si0 2 ) with a glue layer 14 (e.g., TIN), a nucleation layer 16 (e.g., WSi x and WB X ) and a metal 18 (e.g., W).
  • a nucleation layer is replaced with a doped amorphous silicon layer.
  • the nucleation layer is replaced with a doped amorphous silicon layer and the TIN glue layer is made thinner.
  • both the nucleation layer and glue layer are replaced with a doped amorphous silicon layer.
  • one or more embodiments of the disclosure are directed processing methods 500 and film stacks 400.
  • a substrate 420 having a substrate surface 422 is provided in step 510.
  • the substrate 420 exposed to a silicon precursor and a dopant to form a doped amorphous silicon layer 440 on the substrate surface 422.
  • Some embodiments of this disclosure advantageously provide methods of lowering deposition temperature of a nucleation layer by incorporating a dopant.
  • the morphology of a nucleation layer is modified by adding dopant during the conformal amorphous silicon layer deposition.
  • the deposition temperature of amorphous silicon can be reduced from greater than or equal to about 400 °G to less than or equal to about 100 °G or below in some embodiments, tungsten film properties can be advantageously modified by changing dopant concentrations due to the different film properties/morphology of doped silicon films as compared to non-doped amorphous silicon films.
  • the doped amorphous silicon (doped a-Si) formation can be achieved by maximizing the partial pressure of the silicon precursor while minimizing the wafer temperature.
  • the doped amorphous silicon is deposited using a GVD deposition process where the silicon precursor and the dopant are exposed to the substrate simultaneously.
  • Suitable silicon precursors include, but are not limited to, polysilanes (Si z H a ) and halosilanes (Si z H a X b ).
  • disilane which has a moderate processing temperature and high vapor pressure, may be used as the silicon precursor alone or in combination with other species.
  • halosiianes include dihalosilane (S1H2X2), trihalosiiane
  • halogen present in the halosilane is independently selected from fluorine, chlorine, bromine or iodine in some embodiments, the halogen consists essentially of chlorine.
  • the silicon precursor comprises substantially only disilane. In some embodiments, the silicon precursor comprises substantially only dich!orosilane. As used in this specification and the appended claims, the phrase "substantially only” means that at least 95% of the active species is the stated species. Other gases, such as carrier gases and inert gases, can be included in any amount.
  • the dopant may be any material suitable for doping the deposited amorphous silicon layer.
  • the doped amorphous silicon layer comprises one or more of boron, phosphorous, arsenic or germanium in some embodiments, the dopant comprises one or more of borane, diborane, phosphine, diphosphine, arsine, diarsine, germane or digermane. in some embodiments, the dopant comprises substantially only diborane. in some embodiments, the dopant comprises substantially only diphosphine in some embodiments, the dopant comprises substantially only diarsine in some embodiments, the dopant comprises substantially only digermane.
  • the thickness of the doped amorphous silicon layer 440 can vary depending on, for example, the substrate surface and subsequent films and processes. In some embodiments, the doped amorphous silicon layer 440 has a thickness greater than or equal to about 20 A. In one or more embodiments, the doped amorphous silicon layer 440 has a thickness in the range of about 1 A to about 200 A, or in the range of about 10 A to about 150 A, or in the range of about 20 A to about 100 A, or in the range of about 40 A to about 100 A. In some embodiments, the doped amorphous silicon layer 440 has a thickness in the range of about 10 A to about 50 A.
  • the thickness of the doped amorphous silicon layer 440 is greater than 0 A and less than or equal to about 100 A, 75 A, 50 A, 45 A or 40 A. In some embodiments, the doped amorphous silicon layer 440 has a minimum thickness sufficient to form a continuous layer.
  • continuous refers to a layer that covers an entire exposed surface without gaps or bare spots that reveal material underlying the deposited layer. A continuous layer may have gaps or bare spots with a surface area less than about 1 % of the total surface area of the film.
  • the doped amorphous silicon layer 440 forms conformally on the substrate 420.
  • “conformally” refers to a layer that adheres to and uniformly covers exposed surfaces with a thickness having a variation of less than 1% relative to the average thickness of the film. For example, a 100 A thick film would have less than 1 A variations in thickness. This thickness and variation includes edges, corners, sides, and the bottom of recesses. For example, a conformal layer deposited in various embodiments of the disclosure would provide coverage over the deposited region of essentially uniform thickness on complex surfaces
  • a metal layer 480 is formed on the doped amorphous silicon layer 440 in step 530.
  • the metal layer 480 can be formed by any suitable technique including, but not limited to, atomic layer deposition (ALD), plasma-enhanced atomic layer deposition (PE-ALD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PE-CVD) and physical vapor deposition (PVD).
  • ALD atomic layer deposition
  • PE-ALD plasma-enhanced atomic layer deposition
  • CVD chemical vapor deposition
  • PE-CVD plasma-enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • the metal layer 480 can comprise any suitable metal.
  • the metal layer 480 comprises one or more of tungsten or molybdenum.
  • the metal layer 480 consists essentially of tungsten.
  • the metal layer 480 consists essentially of molybdenum.
  • consists essentially of means that the metal layer 480 is greater than or equal to about 80, 85, 90 or 95 atomic % of the specified component.
  • a metal layer 480 consisting essentially of tungsten has a composition that is greater than or equal to about, for example, 90 atomic % tungsten.
  • the metal layer 480 is deposited by CVD.
  • a metal precursor and a reactant may be coflowed into a processing chamber to deposit a layer on the substrate.
  • the precursor and reactant are allowed to react in the gas phase.
  • the metal layer 480 is deposited by ALD. In some embodiments, the metal layer 480 is deposited by sequentially exposing the doped amorphous silicon layer 440 to a metal precursor and a reactant.
  • the metal precursor can be any suitable precursor that can be used to deposit a metal film in some embodiments, the metal precursor comprises a metal selected from tungsten, molybdenum and combinations thereof. In one or more embodiments, the metal precursor comprises one or more of WF 6 and MoF 6 . In some embodiments, the metal precursor is a fluorine containing precursor. It is known that fluorine may etch silicon surface. Some embodiments of the disclosure advantageously allow the use of fluorine precursors because the doped amorphous silicon layer 240 can be formed to a thickness sufficient to ensure that the precursor does not remove all of the doped amorphous silicon film.
  • the reactant can be any suitable reactant that is able to react with a species formed on the surface.
  • a species formed on the surface For example, in an ALD process, if WF 6 is used as the precursor, there will be -WF X species on the surface. The reactant is able to react with the -WF X species to produce a W film.
  • FIG. 7 shows a process flow for another embodiment of the disclosure in which a degas process 125 is included after formation of the doped amorphous silicon layer 440.
  • the doped amorphous silicon layer 440 in some embodiments is exposed to a degas environment to remove outgassed species prior to forming the metal layer 480.
  • the deposited doped amorphous silicon layer 440 may evolve or outgas a species, for example, hydrogen.
  • the degas environment provides an opportunity for the gaseous species to evolve, minimizing bubbling of the final film.
  • the degas environment can include any condition with allows or encourages degassing of the film.
  • the degas environment may consists essentially of an inert gas.
  • "consists essentially of means that there is no gaseous species that interfere with the outgassing of the deposited film.
  • Other reactive species may be present without inhibiting degassing of the film while still consisting essentially of an inert gas.
  • Suitable inert gases include, but are not limited to, one or more of argon, helium, nitrogen, and/or mixtures thereof.
  • the outgassed species comprises hydrogen, SiH 2 , SiH 3 , SiH 4 , and/or other low-order silanes.
  • the pressure in the processing chamber, or region of the processing chamber can be independently controlled for the precursor exposure and degas environment in some embodiments, exposure to each of the silicon precursor, dopant and the degas environment occurs at a pressure in the range of about 100 mTorr to about 600 Torr.
  • the silicon precursor and/or the dopant is exposed to the substrate at a pressure greater than or equal to about 500 mTorr, or greater than or equal to about 1 Torr, or greater than or equal to about 5 Torr, or greater than or equal to about 10 Torr, or greater than or equal to about 20 Torr, or greater than or equal to about 30 Torr [0080]
  • the temperature at which the substrate surface is exposed to the silicon precursor, dopant and/or degas environment can be varied depending on, for example, the thermal budget of the device being formed and the silicon precursor and/or dopant.
  • exposure to each of the silicon precursor, the dopant and/or the degas environment occurs at a temperature in the range of about 25 °C to about 700 °C.
  • the doped amorphous silicon layer 440 is formed at a temperature in the range of about 25 °C to about 700 °C, or in the range of about 50 °C to about 600 °C, or in the range of about 100 °C to about 550 °C. In some embodiments, the doped amorphous silicon layer 440 is formed at a temperature greater than or equal to about 25 °C and less than or equal to about 550 °C, less than or equal to about 400 °C, less than or equal to about 250 °C, less than or equal to about 200 °C, or less than or equal to about 100 °C.
  • the thickness ot the doped amorphous silicon layer 440 formed with the silicon precursor and the dopant before exposure to the degas environment can be modified.
  • each exposure to the silicon precursor, the dopant and the degas environment grows a film with a thickness in the range of about 5 A to about 20 A, or about 20 A to about 100 A, or about 40 A to about 100 A.
  • the precursor, dopant and degas environments can be repeatedly sequentially exposed to the substrate surface to form a film with a predetermined thickness.
  • the amorphous silicon film has a total thickness in the range of about 20 A to about 1 pm.
  • some embodiments of the disclosure further comprise step 560 in which a glue layer 460 is deposited on the substrate before forming the doped amorphous silicon layer 440.
  • the glue layer 460 is a layer that the doped amorphous silicon can stick to with less likelihood of peeling than if the doped amorphous silicon layer was deposited directly onto the substrate 420.
  • the glue layer comprises TIN.
  • the substrate 420 has a silicon oxide surface and the glue layer comprises TIN.
  • the thickness of the glue layer 460 can vary depending on the substrate and the thickness of doped amorphous silicon to be deposited.
  • the glue layer 460 has a thickness in the range of about 1 A to about 30 A, or in the range of about 5 A to about 30 A. In some embodiments, the glue layer 460 has a thickness less than or equal to about 20 A, or less than or equal to about 15
  • one or more embodiments of the disclosure are directed to stacks 400 comprising a substrate 420 having an oxide surface 422.
  • a glue layer 460 is on the oxide surface 422
  • the glue layer of some embodiments comprises TIN with a thickness in the range of about 5 A to about 30 A.
  • a doped amorphous silicon layer 440 is on the glue layer 460 and has a thickness in the range of about 5 A to about 50 A.
  • a metal layer 480 is on the doped amorphous silicon layer 440 and comprises one or more of tungsten and molybdenum.
  • One or more embodiments of the disclosure are directed to methods of depositing a metal layer on a thin film comprising one or more of boron or silicon as one or more of a glue layer, a fluorine diffusion barrier and/or a nucleation layer without the use of a barrier layer. While the deposition of tungsten metal is referred to in many embodiments, those skilled in the art will understand that other metals (e.g., Mo) can be deposited by the disclosed processes. Additionally, the disclosure is not limited to metal deposition by ALD deposition or deposition of tungsten.
  • Some embodiments of this disclosure advantageously provide methods of depositing a metal layer on a substrate surface which is substantially free of a barrier layer.
  • the relative absence of the battier layer allows for the formation of metal lines or structures which have relatively lower resistance than similar structures which contain a barrier layer.
  • the absence of the barrier layer allows for a greater volume of metal deposition in the same volume and thereby a lower resistance of the metal bulk in some embodiments, the metal layer is deposited as part of a metal stack.
  • a nucleation layer is formed directly on the substrate surface without a battier layer.
  • the nucleation layer comprises one or more of silicon or boron.
  • fluorine-containing compounds may etch certain surfaces (e.g., silicon surfaces).
  • Some embodiments of the disclosure advantageously allow for the use of fluorine-containing metal precursors without a barrier layer.
  • the nucleation layer 830 can be formed to a thickness sufficient to ensure that the metal precursor does not remove the entire nucleation layer and etch, or otherwise damage, the substrate surface.
  • the metal precursor exposed to the nucleation layer comprises substantially no fluorine. Without being bound by theory, it is believed that by using metal precursors without fluorine, the effects of fluorine affack on the substrate surface can be minimized or eliminated.
  • one or more embodiments of the disclosure are directed processing methods 700 for forming film stacks 800.
  • a substrate 820 having a substrate surface 822 is exposed to a nucleation precursor to form a nucleation layer 830.
  • the nucleation layer 830 is formed by thermal decomposition of the nucleation precursor.
  • the substrate 820 comprises a dielectric in some embodiments, the substrate 820 comprises one or more of silicon dioxide or aluminum oxide. In some embodiments, the substrate 820 consists essentially of silicon oxide or aluminum oxide. As used in this regard, “consists essentially of” means that the substrate comprises greater than 95%, 98%, 99% or 99.5% of the stated material on an atomic basis. A skilled artisan will recognize that the terms“silicon oxide” and “aluminum oxide” do not convey any specific atomic ratio. These materials may be either stoichiometric or non-stoichiometric. [0092] In some embodiments, the substrate surface 822 is substantially free of a barrier layer.
  • substantially free of a barrier layer means that less than 5%, 2%, 1% or 0.5% of the substrate surface comprises a barrier layer.
  • the substrate surface 822 is substantially free of a barrier layer comprising one or more of TIN, TaN, SiN, TiSiN or SiCN.
  • the nucleation precursor comprises a boron precursor and the nucleation layer is a boron layer.
  • the nucleation precursor consists essentially of a boron precursor in some embodiments, the boron layer is an amorphous boron layer.
  • Suitable boron precursors include, but are not limited to, boranes, alkylboranes and haloboranes.
  • the boron precursor comprises one or more species with a general formula of B c H d X e R f , where each X is a halogen independently selected from F, Cl, Br and I, each R is an independently selected C1 - G4 alkyl group, c is any integer greater than or equal to 2, each of d, e and f are less than or equal to c+2 and d+e+f is equal to c+2.
  • the nucleation precursor comprises a silicon precursor and the nucleation layer is a silicon layer in some embodiments, the nucleation precursor consists essentially of a silicon precursor. in some embodiments, the silicon layer is an amorphous silicon layer.
  • Suitable silicon precursors include, but are not limited to, polysilanes and haiosi!anes.
  • the silicon precursor comprises one or more species with a general formula of Si g H ⁇ X, where each X is a halogen independently selected from F, Cl, Br and I, g is any integer greater than or equal to 1 , h and i are each less than or equal to 2g+2 and h+i is equal to 2g+2.
  • the nucleation precursor comprises a silicon precursor and a boron precursor and the nucleation layer comprises silicon and boron in some embodiments, the nucleation layer is amorphous. In some embodiments, the amorphous layer comprises no more than 5 atomic percent silicon. In some embodiments, the amorphous layer comprises no more than 5 atomic percent boron.
  • the thickness of the nudeation layer 830 can vary depending on, for example, the substrate surface 822 and subsequent films and processes in some embodiments, the nudeation layer 830 has a thickness greater than or equal to about 20 A. in one or more embodiments, the nudeation layer 830 has a thickness in the range of about 1 A to about 200 A, or in the range of about 10 A to about 150 A, or in the range of about 20 A to about 100 A, or in the range of about 40 A to about 100 A. in some embodiments, the nudeation layer 830 has a thickness in the range of about 10 A to about 50 A. In some embodiments, the thickness of the nudeation layer 830 is greater than 0 A and less than or equal to about 100 A, 75 A, 50 A, 45 A or 40 A
  • the nudeation layer 830 has a minimum thickness sufficient to form a continuous layer.
  • continuous refers to a layer that covers an entire exposed surface without gaps or bare spots that reveal material underlying the deposited layer.
  • a continuous layer may have gaps or bare spots with a surface area less than about 1% of the total surface area of the film.
  • the nudeation layer 830 forms conformally on the substrate surface 822.
  • the term “conformal”, or “conformally”, refers to a layer that adheres to and uniformly covers exposed surfaces with a thickness having a variation of less than 1% relative to the average thickness of the film. For example, a 100 A thick film would have less than 1 A variations in thickness. This thickness and variation includes edges, corners, sides, and the bottom of recesses.
  • a conformal layer deposited in various embodiments of the disclosure would provide coverage over the deposited region of essentially uniform thickness on complex surfaces.
  • the nudeation layer 830 is exposed to a first metal precursor to form a first metal layer 840.
  • the first metal precursor comprises a first metal.
  • forming a first metal layer is performed by converting the nudeation layer to a first metal layer.
  • the conversion process involves substituting atoms of the nucieation layer with first metal atoms in some embodiments, H 2 is added to as a co-reactant with the first metal precursor.
  • the first metal may be any suitable metal.
  • the first metal comprises tungsten or molybdenum in some embodiments, the first metal precursor comprises one or more ligand selected from halides, carbonyls or cyclopentadienes.
  • the first metal precursor comprises or consists essentially of one or more of WF 6 , WC , WCI 5 , W(CO)s, MoF 6 , M0CI 5 , or MO(CO) 6 -
  • fhe first metal precursor consists essentially of W(CO) 5 .
  • “consists essentially of means that the first metal precursor comprises greater than 95%, 98%, 99% or 99.5% of the stated species.
  • the first metal precursor comprises substantially no fluorine.
  • “comprises substantially no fluorine” means that the first metal precursor comprises less than 2%, 1%, 0.5% or 0.1% fluorine atoms on an atomic basis.
  • a second metal layer 850 is formed on the first metal layer 840 by exposing the substrate 820 to a second metal precursor.
  • the second metal precursor comprises a second metal.
  • the second metal may be any suitable metal in some embodiments, the second metal comprises tungsten or molybdenum. In some embodiments, the second metal layer 850 consists essentially of tungsten. In some embodiments, the second metal layer 850 consists essentially of molybdenum. As used in this regard, "consists essentially of" means that the second metal layer 850 comprises greater than 95%, 98%, 99% or 99.5% of the specified element on an atomic basis in some embodiments, the first metal precursor and second metal precursor comprise the same metal. In some embodiments, the first metal precursor and second metal precursor comprise different metals.
  • the second metal precursor comprises one or more ligand selected from halides, carbonyls or cyclopentadienes.
  • the second metal precursor comprises or consists essentially of one or more of WFe, WCI 6 , WCI 5 , W(CO) 5 , MOF 6 , M0CI 5 , or Mo(CO) 6 .
  • the second metal precursor consists essentially of W(CO) 5 .
  • “consists essentially of” means that the first metal precursor comprises greater than 95%, 98%, 99% or 99.5% of the stated species.
  • the second metal layer 850 can be formed by any suitable technique including, but not limited to, atomic layer deposition (ALD), plasma-enhanced atomic layer deposition (PE-ALD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PE-CVD) and physical vapor deposition (PVD).
  • ALD atomic layer deposition
  • PE-ALD plasma-enhanced atomic layer deposition
  • CVD chemical vapor deposition
  • PE-CVD plasma-enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • the second metal layer 850 is formed by CVD.
  • a second metal precursor and a reactant may be cofiowed into a processing chamber to deposit a second metal layer 850 on the substrate 820.
  • the second metal precursor and reactant are allowed to react in the gas phase.
  • the second metal layer 850 is deposited by ALD. In some embodiments, the second metal layer is formed by separately exposing the substrate surface to a second tungsten precursor and a reactant.
  • the reactant can be any suitable reactant that is able to react with a species formed on the surface.
  • a species formed on the surface For example, in an ALD process, if WF 6 is used as the precursor, there will be -WF X species on the surface.
  • the reactant is able to react with the -WF X species to produce a W film in some embodiments, the reactant comprises hydrogen gas (H 2 ) or nitrogen gas (N 2 ).
  • an inert gas may be added to the processing chamber during processing.
  • an inert gas is added during formation of the first metal film 840.
  • an inert gas is added during formation of the second metal film 850.
  • inert gases may be flowed into the processing chamber with the first or second metal precursor or may be flowed separately.
  • an inert gas comprises one or more of Ar, He, or nitrogen gas (N 2 ).
  • the temperature at which the substrate surface is exposed to the nucieation precursor, first metal precursor and/or second metal precursor can be varied depending on, for example, the thermal budget of the device being formed and the precursors selected. In some embodiments, exposure to each of the precursors occurs at a temperature in the range of about 25 °C to about 700 °C. In one or more embodiments, the substrate is exposed at a temperature in the range of about 25 °C to about 700 °C, or in the range of about 50 °C to about 600 °C, or in the range of about 100 °C to about 550 °C.
  • the substrate is exposed at a temperature greater than or equal to about 25 °C and less than or equal to about 550 °C, less than or equal to about 400 °C, less than or equal to about 250 °C, less than or equal to about 200 °C, or less than or equal to about 100 °C.
  • the nucieation layer 830 and the first metal film 840 are formed at a temperature in a range of about 300 °C to about 550 °C, while the second metal film is formed at a temperature in a range of about 200 °C to about 550 °C.
  • the pressure at which the substrate surface is exposed to the nucieation precursor, first metal precursor and/or second metal precursor can be varied depending on, for example, the precursors selected and other process conditions. In some embodiments, exposure to each of the precursors occurs at a pressure in the range of about 0.01 Torr to about 100 Torr. In one or more embodiments, the substrate is exposed at a pressure in the range of about 0.01 Torr to about 100 Torr, or in the range of about 0.1 Torr to about 80 Torr, or in the range of about 1 Torr to about 60 Torr.
  • the substrate is exposed at a pressure greater than or equal to about 1 Torr and less than or equal to about 100 Torr, less than or equal to about 80 Torr, less than or equal to about 60 Torr, less than or equal to about 40 Torr, or less than or equal to about 25 Torr. In some embodiments, the substrate is exposed at a pressure in a range of about 4 Torr to about 100 Torr.
  • One or more embodiment of the disclosure is directed to methods of depositing a high quality a-Si layer with lower hydrogen content. In some embodiments, the a-Si layer is deposited at a relatively high pressure and relatively high temperature.
  • deposition occurs at a pressure greater than or equal to about 200 Torr, greater than or equal to about 225 Torr, greater than or equal to about 250 Torr, greater than or equal to about 275 Torr, greater than or equal to about 300 Torr, greater than or equal to about 325 Torr, or greater than or equal to about 350 Torr.
  • the substrate surface is maintained at a temperature greater than or equal to about 450 °C, greater than or equal to about 475 °C, greater than or equal to about 500 °C, greater than or equal to about 525 °C, or greater than or equal to about 550 °C.
  • the quality of the amorphous silicon film is determined based on the hydrogen content of the film, as measured by Rutherford Backscattering Spectrometry (RBS).
  • the hydrogen content of the a-Si layer is less than or equal to 6 atomic percent, less than or equal to 5 atomic percent, less than or equal to 4 atomic percent, less than or equal to 3 atomic percent, less than or equal to 2 atomic percent, less than or equal to 1 atomic percent, or less than or equal to 0.5 atomic percent
  • the nudeation layer i.e., the high quality amorphous silicon film
  • a metal e.g , tungsten
  • the nudeation layer is exposed to the first metal precursor at a temperature of less than or equal to about 450 °C, less than or equal to about 425 °C, less than or equal to about 400 °C, less than or equal to about 375 °C, or less than or equal to about 350 °C.
  • the nudeation layer is exposed to the first metal precursor at a temperature of greater than or equal to about 250 °C, greater than or equal to about 275 °C, greater than or equal to about 300 °C, greater than or equal to about 325 °C, or greater than or equal to about 350 °C. In some embodiments, the nucleation layer is exposed to the first metal precursor at a temperature in the range of about 250 °C to about 450 °C, or in the range of about 275 °C to about 425°C, or in the range of about 300 °C to about 400 °C.
  • the relatively low fluorine penetration of some embodiments provides better film adhesion (e.g., less film peeling) and a lower resistivity for the resulting first metal film.
  • the fluorine concentration of the resulting first metal film is less than or equal to about 1 x10 21 atoms/cm 3 , less than or equal to about 7.5x10 20 atoms/cm 3 , or less than or equal to about 5x10 20 atoms/cm 3 , or less than or equal to about 2.5x10 20 atoms/cm 3 , or less than or equal to about 1 x1 G 20 atoms/cm 3 .
  • the resistivity of a resulting first metal film with a thickness of about 20 nm is less than or equal to about 20 mW-cm, less than or equal to about 19 mW-cm, less than or equal to about 18 mW-cm, less than or equal to about 17 mW-cm, less than or equal to about 16 mW-cm, or less than or equal to about 15 mW-cm
  • One or more embodiment of the disclosure is directed to methods of depositing a low-fluorine tungsten bulk film by atomic layer deposition with relatively larger tungsten grain size, as measured by x-ray diffraction (XRD).
  • the deposition of the low-fluorine bulk tungsten is performed by atomic layer deposition while the substrate is maintained at a temperature of greater than or equal to about 450 °C, greater than or equal to about 475 °C, greater than or equal to about 500 °C, or greater than or equal to about 525 °C.
  • the grain size of the low-fluorine bulk tungsten film is directly proportional to the temperature at which the film is deposited. For example, higher deposition temperatures will form films with higher grain sizes. Without being bound by theory, it is believed that smaller tungsten grains cause electron scattering.
  • the average grain size of the low-fluorine bulk tungsten is greater than or equal to about 1 10 A, greater than or equal to about 1 15 A, greater than or equal to about 120 A, greater than or equal to about 122 A, greater than or equal to about 124 A, greater than or equal to about 126 A, or greater than or equal to about 128 A, or greater than or equal to about 130 A.
  • the grain size of a low-fluorine bulk tungsten film formed at low temperature is less than 1 10 A.
  • the resistivity of the low-fluorine bulk tungsten film is increased by greater than or equal to about 0.5 mW-cm, greater than or equal to about 0.75 mW-cm, or greater than or equal to about 1.0 mW-cm for every 10 °C below 500 °C that the deposition of the low-fluorine bulk tungsten is performed. For example, if a low-fluorine bulk tungsten film deposited at 500 °C has a resistivity of 15 mW-cm. a similar film deposited at 480 °C may have a resistivity of greater than or equal to 17 mW-cm.
  • the substrate is subjected to processing prior to and/or after processing according to the disclosure. This processing can be performed in the same chamber or in one or more separate processing chambers.
  • the substrate is moved from the first chamber to a separate, second chamber for further processing.
  • the substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber.
  • the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a "cluster tool” or "clustered system,” and the like.
  • a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching.
  • a cluster tool includes at least a first chamber and a central transfer chamber.
  • the central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers.
  • the transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the duster tool.
  • Centura ®5 and the Endura ®1 Two well-known cluster tools which may be adapted for the present disclosure are the Centura ®5 and the Endura ®1 , both available from Applied Materials, Inc., of Santa Clara, Calif. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein.
  • Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTF, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean
  • thermal treatment such as RTF, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • the substrate is continuously under vacuum or "load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next.
  • the transfer chambers are thus under vacuum and are "pumped down” under vacuum pressure inert gases may be present in the processing chambers or the transfer chambers.
  • an inert gas is used as a purge gas to remove some or ail of the reactants.
  • a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • the substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed.
  • the substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber.
  • the shape of the chamber and associated conveyer system can form a straight path or curved path.
  • the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.
  • the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface.
  • the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively.
  • the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature in some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
  • the substrate can also be stationary or rotated during processing.
  • a rotating substrate can be rotated continuously or in discrete steps.
  • a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases.
  • Rotating the substrate during processing may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
PCT/US2018/065151 2018-04-24 2018-12-12 Tungsten deposition without barrier layer WO2019209381A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN201880092720.1A CN112041969A (zh) 2018-04-24 2018-12-12 无阻挡层的钨沉积
JP2020558579A JP2021522411A (ja) 2018-04-24 2018-12-12 バリア層なしのタングステン堆積物
KR1020207033793A KR102489425B1 (ko) 2018-04-24 2018-12-12 장벽 층이 없는 텅스텐 증착
SG11202009514WA SG11202009514WA (en) 2018-04-24 2018-12-12 Tungsten deposition without barrier layer
JP2022185838A JP2023036583A (ja) 2018-04-24 2022-11-21 バリア層なしのタングステン堆積物

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/961,363 2018-04-24
US15/961,363 US10468263B2 (en) 2015-12-19 2018-04-24 Tungsten deposition without barrier layer

Publications (1)

Publication Number Publication Date
WO2019209381A1 true WO2019209381A1 (en) 2019-10-31

Family

ID=68293626

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/065151 WO2019209381A1 (en) 2018-04-24 2018-12-12 Tungsten deposition without barrier layer

Country Status (5)

Country Link
JP (2) JP2021522411A (ko)
KR (1) KR102489425B1 (ko)
CN (1) CN112041969A (ko)
SG (1) SG11202009514WA (ko)
WO (1) WO2019209381A1 (ko)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20080254623A1 (en) * 2001-05-22 2008-10-16 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US8071478B2 (en) * 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20160284553A1 (en) * 2015-03-27 2016-09-29 Tokyo Electron Limited Method of forming tungsten film

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5217817A (en) * 1989-11-08 1993-06-08 U.S. Philips Corporation Steel tool provided with a boron layer
JP2616554B2 (ja) * 1994-04-22 1997-06-04 日本電気株式会社 半導体装置の製造方法
KR20030025317A (ko) * 2001-09-20 2003-03-29 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US6835656B1 (en) * 2002-06-07 2004-12-28 Advanced Micro Devices, Inc. Method of forming ultra-shallow junctions in a semiconductor wafer with a deposited silicon layer and in-situ anneal to reduce silicon consumption during salicidation
KR101462154B1 (ko) * 2008-12-15 2014-11-14 주식회사 원익아이피에스 텅스텐 박막 증착방법
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
TWI716511B (zh) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
US10811262B2 (en) * 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
JP6793243B2 (ja) * 2016-07-14 2020-12-02 インテグリス・インコーポレーテッド MoOCl4を使用することによるCVD Mo堆積
US20190161853A1 (en) * 2016-07-26 2019-05-30 Tokyo Electron Limited Method for forming tungsten film

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20080254623A1 (en) * 2001-05-22 2008-10-16 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US8071478B2 (en) * 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20160284553A1 (en) * 2015-03-27 2016-09-29 Tokyo Electron Limited Method of forming tungsten film

Also Published As

Publication number Publication date
CN112041969A (zh) 2020-12-04
JP2021522411A (ja) 2021-08-30
KR102489425B1 (ko) 2023-01-18
JP2023036583A (ja) 2023-03-14
KR20200136045A (ko) 2020-12-04
SG11202009514WA (en) 2020-11-27

Similar Documents

Publication Publication Date Title
US10854461B2 (en) Tungsten deposition without barrier layer
US9978685B2 (en) Conformal amorphous silicon as nucleation layer for W ALD process
US10777453B2 (en) Low resistivity films containing molybdenum
US11398382B2 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR20190024841A (ko) 주기적 증착 공정에 의하여 유전체 표면 위에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US11244824B2 (en) Conformal doped amorphous silicon as nucleation layer for metal deposition
US10851454B2 (en) Metal deposition methods
US10991586B2 (en) In-situ tungsten deposition without barrier layer
KR102489425B1 (ko) 장벽 층이 없는 텅스텐 증착
JP2021167466A (ja) バリア層のないインシトゥタングステン堆積

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18916620

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020558579

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20207033793

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 18916620

Country of ref document: EP

Kind code of ref document: A1