WO2019190912A1 - Topographically-selective and area-selective ald using fluorocarbon blocking layers - Google Patents

Topographically-selective and area-selective ald using fluorocarbon blocking layers Download PDF

Info

Publication number
WO2019190912A1
WO2019190912A1 PCT/US2019/023582 US2019023582W WO2019190912A1 WO 2019190912 A1 WO2019190912 A1 WO 2019190912A1 US 2019023582 W US2019023582 W US 2019023582W WO 2019190912 A1 WO2019190912 A1 WO 2019190912A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
fluorocarbon
film
substrate
silicon nitride
Prior art date
Application number
PCT/US2019/023582
Other languages
English (en)
French (fr)
Inventor
Katie Lynn Nardi
Nerissa Draeger
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR1020207031377A priority Critical patent/KR20200128184A/ko
Priority to CN201980024270.7A priority patent/CN112041966A/zh
Publication of WO2019190912A1 publication Critical patent/WO2019190912A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Definitions

  • the present disclosure relates to substrate processing systems, and more particularly to substrate processing systems for selectively depositing dielectric or metal film using fluorocarbon blocking layers.
  • Substrate processing systems have been used to deposit, etch, ash, clean or otherwise treat film on substrates such as semiconductor wafers.
  • ALD atomic layer deposition
  • the substrate is arranged in a processing chamber and is exposed to precursor gas for a predetermined period.
  • the processing chamber is purged.
  • the substrate is exposed to a reactant gas for a predetermined period and the processing chamber is purged.
  • the ALD process may be repeated one or more times to deposit additional monolayers.
  • a dielectric or metal film needs to be selectively deposited on silicon dioxide (S1O2 or SiOx) film on a substrate without depositing the film on exposed silicon (Si) or silicon nitride (identified as ShN 4 or SiN herein) film on the substrate.
  • Current processes require photolithography and patterning to generate a selective film on only one type of exposed surface, which is a top-down etching approach.
  • a method for selectively depositing film includes a) arranging a substrate in a processing chamber.
  • the substrate includes a first material that is exposed and includes silicon oxide (SiOz) and a second material that is exposed and that is selected from a group consisting of silicon (Si) and silicon nitride (SixNy), where z, x and y are numbers defining chemical ratios of elements.
  • the method includes b) supplying a first gas mixture that includes fluorocarbon species and hydrogen species; c) striking plasma for a first predetermined period to deposit a fluorocarbon layer on the substrate; d) removing the first gas mixture from the processing chamber; e) supplying a second gas mixture and striking plasma for a second predetermined period to perform an activation step; and f) removing the second gas mixture from the processing chamber.
  • the activation step preferentially removes the fluorocarbon layer on the first material more than on the second material.
  • the method includes g) repeating b) to f) N times, where N is an integer; and h) depositing film on the first material and substantially blocking deposition of the film on the second material using the fluorocarbon layer.
  • the activation step preferentially removes the fluorocarbon layer on sidewalls of the substrate more than on planar surfaces of the substrate.
  • the method includes g) repeating b) to f) N times, where N is an integer; and h) depositing film on the sidewalls and substantially blocking deposition of the film on the planar surfaces using the fluorocarbon layer.
  • the activation step preferentially removes the fluorocarbon layer on planar surfaces of the substrate more than on sidewalls of the substrate.
  • the method includes g) repeating b) to f) N times, where N is an integer; and h) depositing film on the planar surfaces and substantially blocking deposition of the film on the sidewalls using the fluorocarbon layer.
  • the method includes g) repeating b) to f) N times, where N is an integer; and h) selectively depositing film on the substrate and substantially blocking deposition of the film on the substrate using the fluorocarbon layer.
  • the first gas mixture includes one or more gases selected from a group consisting of fluorocarbon gas (CaFb), hydrocarbon gas (HcCd) hydrofluorocarbon gas (CeFfHg), molecular hydrogen gas (Fte), and combinations thereof, where a, b, c, d, e, f, and g are numbers defining chemical ratios of elements.
  • the first gas mixture further includes one or more gases selected from a group consisting of helium (Fie), nitrogen (N2), xenon (Xe), krypton (Kr), and argon (Ar).
  • the second gas mixture includes one or more gases selected from a group consisting of helium (Fie), nitrogen (N2), xenon (Xe), krypton (Kr), and argon (Ar).
  • h) includes performing atomic layer deposition (ALD).
  • the method further includes, after g) and prior to h), g1 ) supplying a third gas mixture including oxygen species; and g2) striking plasma for a predetermined period.
  • the method further includes g3) repeating a) to g2) one or more times.
  • the third gas mixture includes one or more gases selected from a group consisting of molecular oxygen (O2), ozone (O3), water (FI2O), carbon dioxide (CO2), carbon monoxide (CO), and nitrous oxide (NO).
  • the predetermined period is in a range from 0.5 seconds to 30 seconds.
  • the substrate includes a first silicon dioxide layer, a silicon nitride layer arranged above the first silicon dioxide layer and a second silicon dioxide layer arranged above the silicon nitride layer.
  • the fluorocarbon layer is selectively deposited on sidewalls of the silicon nitride layer and the second silicon dioxide layer.
  • the film is selectively deposited on planar regions of the first silicon dioxide layer and the second silicon dioxide layer and is substantially blocked by the fluorocarbon layer on the sidewalls of the silicon nitride layer and the second silicon dioxide layer.
  • the substrate includes a first silicon nitride layer, a silicon dioxide layer arranged above the first silicon nitride layer and a second silicon nitride layer arranged above the silicon dioxide layer.
  • the fluorocarbon layer is selectively deposited on sidewalls of the silicon dioxide layer and the second silicon nitride layer.
  • the film is deposited on planar regions of the first silicon nitride layer and the second silicon nitride layer and is substantially blocked by the fluorocarbon layer on the sidewalls of the silicon dioxide layer and the second silicon nitride layer.
  • the substrate includes a first silicon dioxide layer, a silicon nitride layer arranged above the first silicon dioxide layer and a second silicon dioxide layer arranged above the silicon nitride layer.
  • the fluorocarbon layer is selectively deposited on planar surfaces of the first silicon dioxide layer and the second silicon dioxide layer.
  • the film is deposited on sidewalls of the silicon nitride layer and the second silicon dioxide layer and is substantially blocked by the fluorocarbon layer on the planar surfaces of the first silicon dioxide layer and the second silicon dioxide layer.
  • the substrate includes a first silicon nitride layer, a silicon dioxide layer arranged above the first silicon nitride layer and a second silicon nitride layer arranged above the silicon dioxide layer.
  • the fluorocarbon layer is selectively deposited on planar surfaces of the first silicon nitride layer and the second silicon nitride layer.
  • the film is deposited on sidewalls of the silicon dioxide layer and the second silicon nitride layer and is substantially blocked by the fluorocarbon layer on the planar surfaces of the first silicon nitride layer and the second silicon nitride layer.
  • the film includes an ALD dielectric layer.
  • the film includes an ALD metal layer.
  • a method for selectively depositing film includes a) arranging a substrate in a processing chamber.
  • the substrate includes a first exposed material including silicon oxide (SiOz) and a second exposed material selected from a group consisting of silicon (Si) and silicon nitride (SixNy), where z, x and y are numbers defining chemical ratios of elements.
  • the method includes b) supplying a gas mixture include fluorocarbon species and hydrogen species; c) striking plasma for a first predetermined period to deposit a fluorocarbon layer on the substrate; d) removing the gas mixture from the processing chamber; e) performing ion bombardment to remove portions of the fluorocarbon layer from planar portions of the substrate; and f) selectively depositing film on the planar portions of the substrate and substantially blocking deposition of the film on sidewalls of the substrate using the fluorocarbon layer.
  • the gas mixture includes one or more gases selected from a group consisting of fluorocarbon gas (CaFb), hydrocarbon gas (HcCd) hydrofluorocarbon gas (CeFfHg), molecular hydrogen gas (Fte), and combinations thereof, where a, b, c, d, e, f, and g are numbers defining chemical ratios of elements.
  • gases selected from a group consisting of fluorocarbon gas (CaFb), hydrocarbon gas (HcCd) hydrofluorocarbon gas (CeFfHg), molecular hydrogen gas (Fte), and combinations thereof, where a, b, c, d, e, f, and g are numbers defining chemical ratios of elements.
  • the gas mixture further includes one or more gases selected from a group consisting of helium (Fie), nitrogen (N2), xenon (Xe), krypton (Kr) and argon (Ar).
  • f) includes performing atomic layer deposition (ALD).
  • the film includes an ALD dielectric layer.
  • the film includes an ALD metal layer.
  • a method for selectively depositing film includes a) arranging a substrate in a processing chamber.
  • the substrate includes a first exposed material including silicon oxide (SiOz) and a second exposed material selected from a group consisting of silicon (Si) and silicon nitride (SixNy), where z, x and y are numbers defining chemical ratios of elements.
  • the method includes b) supplying a first gas mixture include fluorocarbon species and hydrogen species; c) striking plasma for a first predetermined period to deposit a fluorocarbon layer on the second exposed material of the substrate; d) removing the gas mixture from the processing chamber; e) depositing film on the first exposed material using atomic layer deposition; f) removing reactants from the processing chamber; g) repeating depositing the fluorocarbon layer in b) to e) and depositing the film in e) and f) one or more times to deposit the film on the first exposed material without mushrooming of the film.
  • the gas mixture includes one or more gases selected from a group consisting of fluorocarbon gas (CaFb), hydrocarbon gas (HcCd) hydrofluorocarbon gas (CeFfHg), molecular hydrogen gas (Fte), and combinations thereof, where a, b, c, d, e, f, and g are numbers defining chemical ratios of elements.
  • the gas mixture further includes one or more gases selected from a group consisting of helium (Fie), nitrogen (N2), xenon (Xe), krypton (Kr) and argon (Ar).
  • a method for selectively depositing film includes a) arranging a substrate in a processing chamber.
  • the substrate includes a first exposed material including silicon oxide (SiOz) and a second exposed material selected from a group consisting of silicon (Si) and silicon nitride (SixNy), where z, x and y are numbers defining chemical ratios of elements.
  • the method includes b) supplying a first gas mixture include fluorocarbon species and hydrogen species; c) striking plasma for a first predetermined period to deposit a fluorocarbon layer on the second exposed material of the substrate; d) removing the gas mixture from the processing chamber; e) depositing film on the first exposed material using atomic layer deposition; f) removing reactants from the processing chamber; g) supplying a second gas mixture and striking plasma for a second predetermined period to perform an activation step; and h) removing the inert gas mixture from the processing chamber.
  • the activation step preferentially removes the fluorocarbon layer on the first material more than on the second material.
  • the method includes repeating at least one of: deposition of the fluorocarbon layer in b) to d); deposition of the film in e) and f); and the activation step in g) and h).
  • the activation step in g) and h) is used to remove nucleation defects in the fluorocarbon layer.
  • the second gas mixture further includes one or more gases selected from a group consisting of helium (He), nitrogen (N2), xenon (Xe), krypton (Kr) and argon (Ar).
  • FIG. 1 is a graph showing an example of fluorocarbon (referred to as FC) film thickness on planar SiN, planar S1O2, and sidewall surfaces as a function of time during deposition (D), activation (A), and oxygen flashing steps using an advanced mixed mode pulsing (AMMPTM) process according to the present disclosure;
  • FC fluorocarbon
  • FIGs. 2A to 2D are side cross-sectional views of an example of a substrate during selective ALD using FC blocking layers according to the present disclosure
  • FIGs. 3A and 3B are side cross-sectional views of an example of a substrate during selective ALD using FC blocking layers and defect removal, or repair, according to the present disclosure
  • FIGs. 4A to 4C are side cross-sectional views of a substrate during selective ALD using FC blocking layers and prevention of mushrooming during ALD deposition according to the present disclosure
  • FIGs. 5A to 5C are side cross-sectional views of an example of a substrate during deposition of FC blocking layers on sidewalls according to the present disclosure
  • FIGs. 6A to 6E are side cross-sectional views of a substrate during deposition of FC blocking layers according to examples of the present disclosure
  • FIG. 7 is a graph showing an example of FC film thickness on planar SiN, planar S1O2, and sidewall surfaces as a function of time using fewer deposition and activation steps between oxygen flashing steps according to the present disclosure;
  • FIGs. 8A and 8B are side cross-sectional views of an example of a substrate during selective ALD on sidewalls using FC blocking layers located on planar surfaces according to the present disclosure;
  • FIGs. 9A and 9B are side cross-sectional views of an example of a substrate during selective ALD on sidewalls using FC blocking layers located on planar regions according to the present disclosure
  • FIG. 10 is a graph showing an example of FC film thickness on sidewalls, planar SiN, and planar S1O2 surfaces as a function of time for a process with fewer oxygen flashing steps according to the present disclosure
  • FIGs. 1 1 A and 1 1 B are side cross-sectional views of a substrate during selective ALD on planar regions using FC blocking layers on sidewalls according to the present disclosure
  • FIGs. 12A to 12B are side cross-sectional views of a substrate during selective ALD on planar regions using FC blocking layers on sidewalls according to the present disclosure
  • FIGs. 13A to 13C are side cross-sectional views of a substrate during ALD using FC blocking layers and using ion bombardment of planar regions according to an example of the present disclosure
  • FIGs. 14 to 16 are flowcharts illustrating example methods according to the present disclosure.
  • FC fluorocarbon
  • FC fluorocarbon
  • the systems and methods described herein perform selective ALD of dielectric or metal film on primarily silicon oxide based (SiOx) surfaces relative to primarily silicon nitride based surfaces (Si x N y where x and y are numbers defining chemical ratios of elements or SiN) or silicon (Si) surfaces.
  • SiOx and SixNy refers to the fact that small amounts of H or C or H, C or O, respectively, may also be present in the film.
  • the selective deposition of dielectric or metal film on SiOx relative to SiN or Si is challenging due to similar surface chemistry between these materials.
  • FC blocking layer After the FC blocking layer is deposited, ALD of either a metal or a dielectric film is performed. In some examples, the FC blocking layer slows or substantially blocks ALD nucleation on the SiN and/or Si surfaces while growth on the SiOx surface is relatively uninhibited. As used herein, substantially blocking may refer to blocking more than 90%, 95% or 99% of the deposition that occurs in other locations that are not blocked by the FC blocking layer. After ALD, the FC blocking layer may be removed immediately or after further substrate processing.
  • the systems and methods described herein may also support topographically selective growth, where growth occurs on planar (or horizontal) surfaces but not on vertical sidewalls or vice versa.
  • the FC blocking layer may be deposited on both planar and vertical surfaces and then selectively removed from the planar surfaces relative to sidewalls using ion bombardment, which primarily impinges on planar surfaces.
  • the FC blocking layer remains on the sidewalls, which allows selective ALD of film on the planar regions of the substrate.
  • the FC blocking layer can be selectively deposited on the planar regions of Si or SiN.
  • the process can be tuned such that the FC deposition rate is greater on the planar surfaces relative to the sidewalls.
  • Thinner sidewall FC build-up may be fully removed by flashing a plasma, which means striking a plasma for a very short pulse time, using oxygen-containing species such as molecular oxygen (O2) or a mixture of oxygen (O2) and hydrogen (H2), or a mixture of oxygen (O2) and an inert gas such as argon (Ar), helium (He), nitrogen (N2), xenon (Xe), and krypton (Kr),
  • the FC blocking layer remains on the planar surfaces where it was thicker, which allows preferential ALD on the sidewalls.
  • the flash oxygen plasma is maintained for a predetermined period in a range from 0.5 seconds to 30 seconds.
  • the oxygen-containing species is selected from a group consisting of molecular oxygen (O2), ozone (O3), water (H2O), carbon dioxide (CO2), carbon monoxide (CO), and nitrous oxide (NO).
  • the FC blocking layer is deposited by supplying a gas mixture including a fluorocarbon species and a hydrogen species.
  • the gas mixture includes fluorocarbon gas (C x F y ), hydrocarbon gas (H x C y ) hydrofluorocarbon gas (CxF y H z ), molecular hydrogen gas (H2), and/or combinations thereof to the processing chamber.
  • inert gas such as argon, helium, molecular nitrogen (N2), xenon (Xe), krypton (Kr) is also added to the gas mixture.
  • deposition is performed in an inductively coupled plasma (ICP) substrate processing system.
  • ICP inductively coupled plasma
  • deposition is performed in a transformer coupled plasma (TCP) substrate processing system.
  • the substrate processing system also contains an electrostatic chuck (ESC) that may have applied RF bias to enhance ion bombardment. Plasma is struck for a predetermined deposition period.
  • the FC blocking layer is deposited on a combination of SiOx, SiN and/or Si surfaces.
  • an activation step may be used to selectively or preferentially remove the FC blocking layer from the SiOx surfaces relative to the SiN and/or Si surfaces.
  • the activation step includes using a plasma containing argon (Ar) or helium (Fie). Repeated cycling of FC deposition steps, activation steps and/or oxygen flash steps and their corresponding processing periods determine where the FC blocking layer remains on the substrate prior to ALD steps.
  • oxygen flashing using plasma containing oxygen species
  • the oxygen plasma is pulsed using RF pulsing and/or a RF continuous wave (CW) is used to remove thinner FC sidewall build-up.
  • the oxygen flash step removes FC from all surfaces including planar surfaces and sidewall surfaces. If the FC layer on the sidewall is thinner to begin with, then the sidewall surface will be removed while some FC remains on the planar surfaces.
  • process parameters such as the relative number of FC deposition and activation steps, duration of the deposition or activation periods, the duration and frequency of oxygen flashing, the process parameters, such as magnitude of RF power or ESC bias or process pressure, during the deposition, activation of oxygen flashing steps, etc.
  • FC blocking layers Examples of deposition of the FC blocking layers and use of Ar activation are shown and described in "Surface Phenomena During Plasma-Assisted Atomic Layer Etching of Si02", Ryan J. Gasvoda, Alex W. van der Steeg, Ranadeep Bhowmick, Eric A. Hudson, and Sumit Agarwal, Applied Materials and Interfaces, 9, pp. 31067-31075 (2017).
  • a layer of fluorocarbon (CF X ) film is deposited on a S1O2 layer.
  • the F atoms in the CF X film bond with the Si in the S1O2 film to form volatile SiF 4 .
  • the C atoms in the CFx film bond with oxygen (O) to form volatile carbon monoxide (CO) and carbon dioxide (CO2).
  • O oxygen
  • CO carbon monoxide
  • CO2 carbon dioxide
  • the CFx film deposition rate is greater than the ion assisted removal rate and CFx film having a thickness greater than a predetermined thickness is deposited on surfaces.
  • the film thickness is greater than the predetermined thickness, the CFx film inhibits etching of the underlying S1O2 layer by preventing diffusion of volatile etch products to the surface and by preventing ions from reaching the CFx/Si02 interface.
  • the predetermined thickness is in a range from at 0.7-10 nm depending upon plasma conditions.
  • the process can also be used for topographically-selective ALD.
  • This technique may be used to perform ALD on planar surfaces relative to sidewalls, or vice versa, irrespective of their chemical composition as will be described further below.
  • This technique may be particularly well suited for blocking deposition on the sidewalls of SiN and Si films relative to planar regions of SiOx, or even planar regions of SiN and/or Si, due to directional control relative to the FC film thickness.
  • the FC deposition process can be tuned so that the FC blocking layer is removed more effectively from planar surfaces than from vertical surfaces, irrespective of their composition. This effect can be used to achieve selective deposition of ALD film on planar SiOx relative to the sidewalls.
  • the process may be tuned such that vertical surfaces of SiN and/or Si are blocked with a FC blocking layer to achieve ALD growth on SiOx planar surfaces.
  • one or more oxygen-containing plasma flash steps can be used periodically to reduce sidewall build-up of the FC blocking layer.
  • the FC film thickness is typically thicker on planar surface than sidewalls, unless significant ion-impingement or ion-bombardment is used during the activation step.
  • the oxygen-containing flash step will remove the thinner FC layer first, which reduces sidewall build-up. This effect can be used to selectively deposit the FC blocking layer on the SiN and/or Si planar surfaces relative to the sidewalls. As a result, this technique has the flexibility to achieve a variety of topographically selective ALD configurations through process tuning as will be described further below.
  • FC blocking layer may be deposited after every x ALD cycles, in which x is defined to be between 1 and 100 cycles depending on the ALD precursor and reactant chemistry.
  • the process may also include a step to remove ALD nucleation that develop on the FC blocking layer. This defect removal step may involve a chemical etch process, a plasma- enhanced etch process, or removal of the top surface of the FC layer.
  • Mushroom-shaped growth, or“mushrooming,” of the ALD film during deposition, in which the ALD film grows laterally above the surface of the FC blocking layer starting from the interface of the ALD/FC films, may be prevented through the deposition of FC on the sidewalls of the growing layer, or by continued growth of the FC blocking layer along with the height of the ALD layer.
  • the process includes advanced mixed mode pulsing (AMMPTM).
  • AMMPTM advanced mixed mode pulsing
  • alternating deposition (D) and activation (A) steps are performed to deposit FC film preferentially on Si or SiN surfaces relative to SiOx surfaces due to differences in chemical bonding and reactivity.
  • an oxygen flash step can be performed to remove some of the FC film. Where the FC film is thinnest, it can be entirely removed while still leaving a FC film on regions where it was thicker.
  • the process can be repeated one or more times to build up the thickness of the FC layer on the SiN and Si surfaces and not on the SiOx surfaces.
  • a substrate 10 is shown during selective ALD using FC blocking layers as described above.
  • the substrate 10 includes one or more underlying layers 12, a first film 14 comprised primarily of SiN or silicon (Si), a second film 16 comprised primarily of SiOx and a FC blocking layer preferentially deposited on the SiN or Si 18.
  • an ALD layer 22 is selectively deposited on the second film 16 selectively relative to the first film 14 due to the FC blocking layer 18.
  • the ALD layer 22 includes a monolayer. In other examples, the ALD layer 22 is less than a monolayer such as 0.4 monolayers or more than a monolayer such as greater than 1 monolayer to 4 monolayers.
  • the FC layer significantly reduces ALD nucleation or prevents ALD film deposition due to chemical and/or physical inhibition.
  • additional ALD layers 24 are deposited to form an ALD film 30 shown in FIG. 2D.
  • FIGs. 3A and 3B the substrate 10 is shown during selective ALD using FC blocking layers and defect removal or repair.
  • nucleation defects 34 may be formed on top of or in the FC layer 18 during ALD.
  • the defects 34 can be removed during deposition of the FC blocking layer 18.
  • the FC blocking layer 18 is shown after the nucleation defects are removed.
  • processing parameters such as the number of activation periods, the duration of the activation periods, and/or the process parameters, such as RF power or ESC bias or process pressure, during the activation periods are adjusted to remove the defects.
  • FIGs. 4A to 4C a substrate is shown during selective ALD using FC blocking layers.
  • a mushroom-shaped portion 33 of the ALD film 30 may occur during processing.
  • mushrooming refers to ALD film growth in a horizontal or lateral direction (horizontally outward from the surface of the ALD film sidewall) in addition to the desired vertical direction.
  • FIG. 4B the FC deposition and activation steps are cycled to continue deposition of the FC blocking layer as the thickness of the ALD film 30 increases. In other words, the process switches from ALD cycles to FC deposition/activation cycles and back one or more times.
  • FC deposition and activation can be adjusted to be highly directional. A small amount of FC film is deposited on sidewalls of the ALD film 30 to slow lateral growth of the ALD film 30.
  • a substrate 100 is shown during selective deposition of FC on primarily Si or SiN sidewalls (relative to primarily SiOx sidewalls) to substantially block ALD during a subsequent deposition step.
  • the substrate 100 includes a SiOx layer 112, a SiN layer 1 14 deposited on the SiOx layer 112, and a SiOx layer 118 deposited on the SiN layer 114.
  • the FC blocking layer 120 is deposited on exposed sidewall surfaces of the SiN layer 114.
  • deposition of ALD layers 121 is substantially blocked in regions where the FC blocking layer 120 is present.
  • the substrate 130 includes a SiN layer 142, a SiOx layer 144 deposited on the SiN layer 142, and a SiN layer 148 deposited on the SiOx layer 144.
  • a FC blocking layer is preferentially deposited on the SiN surfaces, both planar and sidewall, relative to the SiOx surfaces. Then, due to ion-mediated directionality of the activation step, the FC blocking layer is removed faster from flat surfaces than side walls.
  • the activation step is varied (such as duration, frequency, or process parameters) to leave the FC blocking layer on the SiN sidewalls 150 and on the SiN planar surfaces at 152 as shown in FIG. 6B.
  • S1O2 is deposited at 153 in areas where the FC blocking layers are not present as shown in FIG. 6C.
  • the activation step is varied to leave the FC blocking layer only on the sidewalls 150 of the SiN.
  • S1O2 is deposited at 155 in areas where the FC blocking layers are not present as shown in FIG. 6E.
  • FIG. 7 the number and duration of deposition, activation and/or oxygen flashing steps can be varied to achieve different effects.
  • deposition of the FC blocking layer is performed using a shorter activation step and ending with a longer deposition step.
  • the relative periods and number of activation steps and deposition steps can be varied.
  • the FC blocking layers are deposited on planar regions of Si02 or SiN at a greater rate than on sidewalls.
  • an oxygen flash step is used to remove the FC layer from the sidewalls.
  • selective ALD is performed on the unblocked sidewalls.
  • Activation steps may be used to address nucleation defect formation or tuning of the FC blocking layer. The process allows greater FC buildup of planar regions which can be used to perform selective ALD on sidewalls relative to the planar regions.
  • the substrate 160 includes a SiOx layer 172, a SiN layer 174 deposited on the SiOx layer 172, and a SiOx layer 178 deposited on the SiN layer 174.
  • a FC blocking layer 180, 182 is deposited on SiOx planar surfaces using the approach shown in FIG. 7.
  • FIG. 8B the substrate 160 is shown after selective deposition of ALD film 190 on sidewalls and removal of the FC blocking layers 180, 182 on the planar surfaces.
  • a substrate 200 is shown during selective ALD using FC blocking layers.
  • the substrate 200 includes a SiN layer 212, a SiOx layer 214 deposited on the SiN layer 212, and a SiN layer 218 deposited on the SiOx layer 214.
  • a FC blocking layer 220, 222 is deposited on SiN planar surfaces using the approach shown in FIG. 7.
  • the substrate 160 is shown after selective deposition of ALD film 230 on sidewalls and removal of the FC blocking layers 220, 222 on the planar surfaces.
  • FC film thickness is shown as a function of time.
  • FC buildup is shown during a process with multiple deposition (D) and activation (A) cycles and fewer or no intervening oxygen flash steps.
  • the deposition and activation cycles can continue throughout an extended AMMP period.
  • the FC blocking layer could be removed from SiN and SiOx surfaces (not shown). Due to the ion-mediated and directional nature of the activation step, more material is removed from planar surfaces as compared to sidewall surfaces. The process allows greater sidewall buildup which can be used to perform selective ALD on planar regions relative to blocked sidewalls.
  • a substrate 260 includes a SiOx layer 272, a SiN layer 274 deposited on the SiOx layer 272, and a SiOx layer 278 deposited on the SiN layer 274.
  • FC blocking layers 280 are deposited on sidewall surfaces of the SiN layer 274 and the SiOx layer 272 as shown in FIG. 1 1A.
  • ALD film 292 and 290 is selectively deposited on planar surfaces of the SiOx layer 272 and the SiOx layers 278, respectively, and the FC blocking layers 280 are removed as shown in FIG. 1 1 B.
  • a substrate 300 includes a SiN layer 312, a SiOx layer 314 deposited on the SiN layer 312, and a SiN layer 318 deposited on the SiOx layer 314.
  • FC blocking layers 320 are deposited on sidewall surfaces of the SiOx layer 314 and the SiN layers 312 as shown in FIG. 12A.
  • ALD film 330 and 332 is selectively deposited on planar surfaces of the SiOx layer 312 and the SiOx layers 318, respectively, and the FC blocking layers 320 are removed as shown in FIG. 12B.
  • a substrate 400 includes a SiN layer 412, a SiOx layer 414 deposited on the SiN layer 412, and a SiN layer 418 deposited on the SiOx layer 414.
  • a FC blocking layer 420 is deposited on the SiN layer 412, the SiOx layer 414, and the SiN layer 418. Ion bombardment is performed to remove the FC layer 420 in planar regions of the substrate. After ion bombardment shown in FIG. 13B, the FC blocking layer 420 remains on sidewalls of the substrate 400. Subsequently, ALD film 430 and 432 is selectively deposited on the planar surfaces of the SiN layers 412 and 418. The FC blocking layers 420 are removed as shown in FIG. 13C.
  • a method 600 for selectively depositing an ALD dielectric layer or metal layer on a substrate is shown.
  • a substrate is arranged on a substrate support in a processing chamber.
  • processing chamber parameters such as temperature, RF plasma power, ESC bias power, pressure and/or gas flows are set.
  • a FC blocking layer is deposited on select portions of the substrate.
  • the FC blocking layer may be deposited using a combination of deposition, activation and/or oxygen flash steps as previously described above. Alternately, the FC blocking layer may be deposited using deposition steps and processing using additional ion bombardment as described above. Ion bombardment also occurs during the activation steps.
  • the ALD dielectric layer or metal layer is selectively deposited on exposed portions of the substrate and not upon regions of the substrate where the FC blocking layers remain.
  • the FC blocking layers are removed.
  • a method 650 for selectively depositing the FC blocking layers is shown.
  • a plasma gas mixture is supplied to the processing chamber.
  • the plasma gas mixture includes a combination of one or more of a fluorocarbon species, hydrocarbon species, inert gas species, and a hydrogen species.
  • plasma is struck in the chamber.
  • the plasma continues for a predetermined period and the FC blocking layer is deposited onto the substrate.
  • the plasma is extinguished and the processing chamber is purged.
  • an activation gas mixture is optionally supplied to the processing chamber.
  • plasma is optionally struck for a predetermined period to perform an activation plasma treatment.
  • the plasma is extinguished and the chamber is purged after the predetermined activation period.
  • the deposition and activation steps may be repeated one or more times by returning to 660.
  • an oxygen flash gas mixture is optionally supplied to the processing chamber.
  • plasma is optionally struck for a predetermined period to perform an oxygen-containing flash treatment.
  • the plasma is extinguished after the oxygen flash treatment period and the chamber is purged.
  • the process may be repeated one or more times by returning to 660.
  • mask healing may be optionally performed at 684.
  • the mask healing step may be applied to the FC layer to remove nucleation sites for the ALD.
  • a plasma treatment step may be performed.
  • the plasma treatment step may include applying Fte plasma to the FC film.
  • ALD may be performed.
  • a plasma gas mixture is supplied to the processing chamber.
  • the plasma gas mixture includes a fluorocarbon species.
  • plasma is struck in the chamber.
  • the plasma continues for a predetermined period and the FC blocking layer is deposited onto the substrate.
  • the plasma is extinguished and the processing chamber is purged.
  • an etch gas mixture is supplied to the chamber.
  • plasma is struck and ion bombardment is used to etch planar regions of the FC blocking layer faster than the FC blocking layer on the sidewalls. Afterwards, ALD may be performed.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean“at least one of A, at least one of B, and at least one of C.”

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
PCT/US2019/023582 2018-03-30 2019-03-22 Topographically-selective and area-selective ald using fluorocarbon blocking layers WO2019190912A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020207031377A KR20200128184A (ko) 2018-03-30 2019-03-22 플루오로카본 차단 층들을 사용하는 토포그래픽-선택적이고 영역-선택적인 ald
CN201980024270.7A CN112041966A (zh) 2018-03-30 2019-03-22 使用碳氟化合物阻止层的形貌选择性和区域选择性ald

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862650351P 2018-03-30 2018-03-30
US62/650,351 2018-03-30

Publications (1)

Publication Number Publication Date
WO2019190912A1 true WO2019190912A1 (en) 2019-10-03

Family

ID=68060718

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/023582 WO2019190912A1 (en) 2018-03-30 2019-03-22 Topographically-selective and area-selective ald using fluorocarbon blocking layers

Country Status (3)

Country Link
KR (1) KR20200128184A (ko)
CN (1) CN112041966A (ko)
WO (1) WO2019190912A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112687695A (zh) * 2020-12-24 2021-04-20 长江存储科技有限责任公司 一种三维存储器的制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995021458A1 (en) * 1994-02-03 1995-08-10 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
US7176122B2 (en) * 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
US20150376797A1 (en) * 2014-06-30 2015-12-31 Lee Chen Anisotropic etch of copper using passivation
US9716005B1 (en) * 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US20170342553A1 (en) * 2016-05-31 2017-11-30 Tokyo Electron Limited Selective deposition with surface treatment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995021458A1 (en) * 1994-02-03 1995-08-10 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
US7176122B2 (en) * 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
US20150376797A1 (en) * 2014-06-30 2015-12-31 Lee Chen Anisotropic etch of copper using passivation
US9716005B1 (en) * 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US20170342553A1 (en) * 2016-05-31 2017-11-30 Tokyo Electron Limited Selective deposition with surface treatment

Also Published As

Publication number Publication date
CN112041966A (zh) 2020-12-04
KR20200128184A (ko) 2020-11-11

Similar Documents

Publication Publication Date Title
KR102457289B1 (ko) 박막 증착 방법 및 반도체 장치의 제조 방법
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
TWI662617B (zh) 無鹵素之氣相矽蝕刻
US11643724B2 (en) Method of forming structures using a neutral beam
US9659791B2 (en) Metal removal with reduced surface roughness
US9627221B1 (en) Continuous process incorporating atomic layer etching
CN108122739B (zh) 拓扑限制的等离子体增强循环沉积的方法
KR20210036830A (ko) 기판 처리 방법
TWI520212B (zh) 選擇性氮化鈦蝕刻
CN111799167A (zh) 制造半导体装置的方法
US20160222522A1 (en) Oxide and metal removal
US20120028469A1 (en) METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM
US20140342569A1 (en) Near surface etch selectivity enhancement
US9337051B2 (en) Method for critical dimension reduction using conformal carbon films
US20070207275A1 (en) Enhancement of remote plasma source clean for dielectric films
US7056830B2 (en) Method for plasma etching a dielectric layer
JP7422557B2 (ja) 基板処理方法および基板処理装置
JP2024045236A (ja) プラズマ処理装置および基板処理装置
KR20230113402A (ko) 고종횡비 탄소 층 에칭 동안 측벽 패시베이션 층을형성하는 비원자층 증착(ald) 방법
WO2019190912A1 (en) Topographically-selective and area-selective ald using fluorocarbon blocking layers
US10515796B2 (en) Dry etch rate reduction of silicon nitride films
CN116130356A (zh) 使用沉积工艺和刻蚀工艺的工件处理
JP2023531127A (ja) 選択的な金属化合物除去のためのシステム及び方法
JP7233173B2 (ja) トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
JPH04137532A (ja) 表面処理方法及びその装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19777586

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20207031377

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19777586

Country of ref document: EP

Kind code of ref document: A1