WO2014035957A1 - Reflective deposition rings and substrate processing chambers incorporating same - Google Patents

Reflective deposition rings and substrate processing chambers incorporating same Download PDF

Info

Publication number
WO2014035957A1
WO2014035957A1 PCT/US2013/056784 US2013056784W WO2014035957A1 WO 2014035957 A1 WO2014035957 A1 WO 2014035957A1 US 2013056784 W US2013056784 W US 2013056784W WO 2014035957 A1 WO2014035957 A1 WO 2014035957A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
deposition ring
support
deposition
ring
Prior art date
Application number
PCT/US2013/056784
Other languages
French (fr)
Inventor
Anantha K. Subramani
Joseph M. Ranish
Xiaoxiong Yuan
Ashish Goel
Joung Joo Lee
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/598,828 external-priority patent/US9905443B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020157007509A priority Critical patent/KR102117234B1/en
Priority to CN201380044008.1A priority patent/CN104584192B/en
Publication of WO2014035957A1 publication Critical patent/WO2014035957A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Definitions

  • Embodiments of the present invention generally relate to semiconductor processing equipment and techniques.
  • Semiconductor substrates are often subjected to thermal treatment following a material process such as deposition of materials on a substrate containing features formed in the surface thereof. Temperature uniformity across the semiconductor substrate is critical during the thermal treatment to effectively reflow material deposited on the substrate during the deposition phase and provide a more conformal distribution of the material on the substrate and within the features.
  • Some reflow chambers use a reflective surface to direct radiation toward the backside of the semiconductor substrate.
  • space constraints within the reflow chamber substantially limit the area of the reflecting surface, adversely affecting the temperature uniformity of the semiconductor substrate.
  • the inventors have provided apparatus for processing substrates that, in at least some embodiments, improves temperature uniformity across a substrate.
  • a deposition ring for use in a substrate processing system to process a substrate may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; and wherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is about 5 to about 50 percent of a total surface area of the first surface.
  • a deposition ring for use in a substrate processing system to process a substrate may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; and wherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is at least 5 percent of a total surface area of the first surface.
  • a substrate processing chamber may include a substrate support having a support surface to support a substrate having a given width; a radiant energy source positioned at a peripheral region of the substrate processing chamber; a reflector disposed around the radiant energy source; and a deposition ring.
  • the deposition ring may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over the substrate support, and wherein the opening is sized to expose a predominant portion of the support surface; and at least one reflective portion, disposed on the first surface and configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion is about 5 to about 50 percent of a total surface area of the first surface.
  • FIG. 1 is a schematic cross-sectional view of a chamber in accordance with some embodiments of the present invention.
  • Figure 2 depicts a schematic view of a deposition ring in accordance with some embodiments of the present invention.
  • Figure 2A depicts a cross-sectional side view of a deposition ring in accordance with some embodiments of the present invention.
  • Figures 3A-C respectively depict cross-sectional side views of deposition rings in accordance with some embodiments of the present invention.
  • Figure 4 is a top view of an exemplary deposition ring in accordance with some embodiments of the present invention.
  • Embodiments of the invention provide improved apparatus for processing substrates.
  • the apparatus may provide improved temperature uniformity across a substrate.
  • embodiments consistent with the present invention may be used in a dual-function chamber in which a normal deposition of material on a substrate is followed by a heating of that same substrate.
  • a first surface of a substrate is highly reflective after material deposition on the first surface, and therefore heating the substrate by means of a high intensity light source on the reflective first surface of the substrate may be inefficient.
  • a second surface of a substrate e.g., a bottom surface
  • opposite the first surface may be more absorptive of light energy and may provide better thermal coupling.
  • heat sources must be located such that the movement of the pedestal would not be impeded. Therefore, the heat source may be located outside the periphery of a substrate support pedestal.
  • a combination of reflective surfaces and protective shields are provided which reflect the heat energy from the peripheral heat sources towards a substrate.
  • Figure 1 depicts a schematic cross-sectional view of a chamber 100 according to some embodiments of the present invention.
  • the chamber 100 is configured for deposition of material on a first side of a substrate and irradiation on a second side of the substrate opposite the first side of the substrate.
  • Such a chamber 100 is a dual-function chamber capable of performing both a material process and a thermal process on a substrate without removing the substrate from the chamber.
  • the thermal process may be a reflow process, for example, to reduce the overhang of metal in recesses of the substrate.
  • the chamber 100 has a wall 104 and a lid portion 102 that enclose an interior volume 138 thereof.
  • a substrate support 106 separates the interior volume 138 into an upper volume 136 and a lower volume 134.
  • Process gases are admitted to the upper volume 136 of the chamber through an inlet 108 formed in the lid portion 102, and a substrate 168 disposed on a substrate receiving surface 1 16 of the substrate support 106 is exposed to the process gases at a processing location 160 of the chamber 100.
  • the substrate support 106 moves vertically within the chamber 100, extending and retracting to various positions at different stages of processing.
  • the substrate support 106 may be actuated vertically to move a substrate 168 disposed on the substrate receiving surface 1 16 of the substrate support 106 between the processing location 160 and a transportation location 124 of the chamber.
  • the transportation location 124 defines a location of the substrate 168 at which a substrate handling apparatus (not shown) may manipulate a substrate 168 through a portal 122.
  • a plurality of lift pins 1 14 are disposed through the substrate receiving surface 1 16 of the substrate support 106.
  • the plurality of lift pins 1 14 can be extended by actuator 162, moving independently of substrate support 106 by virtue of a motor (not shown) coupled to the actuator 162.
  • the plurality of lift pins 1 14 can be actuated to lift and maintain a substrate 168 near the processing location 160 while the substrate support 106 retracts below the radiant source plane 126.
  • the substrate 168 may be positioned at a thermal processing location 128 different from the processing location 160, which may be a material processing location, by actuating the lift pins.
  • the substrate receiving surface 1 16 may incorporate an electrostatic chuck, which typically includes a conductor 158 disposed in an insulating substrate receiving surface 1 16.
  • the conductor 158 may be a plate, a wire mesh, or a single- path wire circuitously routed through the substrate receiving surface 1 16.
  • Power is typically coupled to the conductor 158 through a conduit 156 disposed through the shaft 132 of the substrate support.
  • the electrostatic chuck may be energized to immobilize the substrate 168 on the substrate support 106. Cooling gas may also be established through the conduit 130 at that time.
  • the substrate support 106 moves the substrate 168 toward the processing locations 128 and 160.
  • the substrate support 106 passes by the radiant source assembly 1 12 as the substrate support 106 rises toward the processing location 160.
  • the substrate 168 may be subjected to a material process, such as deposition, implant, or etch.
  • the deposition ring 1 18 may be configured to engage the cover ring 166, which may be metal or ceramic, extending outward from the deposition ring 1 18 toward the lid portion 102.
  • Engaging cover ring 166 improves the function of the deposition ring 1 18 by controlling gas flow from the upper volume 136 past the cover ring 166 into the lower volume 134.
  • the deposition ring 1 18 engages the cover ring 166.
  • the cover ring 166 moves with the deposition ring 1 18 and the substrate support 106.
  • a radiant source assembly 1 12 is disposed at a periphery 142 of the chamber 100 and defines a radiant source plane 126 that is between the processing location 160 and the transportation location 124.
  • the radiant source assembly 1 12 typically surrounds the substrate support 106.
  • the radiant source assembly 1 12 includes a housing 188, a radiant energy source 182, at least one support 184 that protrudes from the housing 188 and supports the radiant energy source 182, and a reflective surface 186 of the housing 188.
  • the housing 188 is generally made of a thermally conductive material, such as metal, for example stainless steel.
  • the support 184 may be a thermally conductive material, such as metal, for example stainless steel, or a refractive material such as ceramic.
  • the radiant energy source 182 may be a lamp producing radiation at wavelengths from the infrared to the violet, or a microwave, millimeter wave, terahertz wave, sub-millimeter wave, or far- infrared source.
  • the radiant energy source 182 may produce radiation having wavelengths from about 5x10 "2 m to about 1 x10 "7 m.
  • Exemplary radiant energy sources include heat lamps, halogen lamps, arc lamps, and coaxial microwave or millimeter wave sources.
  • the reflective surface 186 of the housing 188 is shaped to reflect radiation from the radiant energy source 182 toward the backside 172 of a substrate 168 positioned at the processing locations 128 or 160 ⁇ e.g., to be a reflector). In some embodiments, the reflective surface 186 of the housing 188 is shaped to allow substantially uniform irradiation of the substrate.
  • the reflective surface 186 of the housing 188 may have any desired shape, such as cylindrical, toroidal, elliptical, oval, or an irregularly curved shape.
  • the reflective surface 186 of the housing 188 may be faceted in addition to, or instead of, being curved.
  • the reflective surface 186 of the housing 188 may be joined segments of cylinders having the same or different radii of curvature, each of which may also be tapered or faceted in part. In some embodiments, the reflective surface 186 of the housing 188 is a half-toroid. In some embodiments, the reflective surface 186 of the housing 188 comprises a plurality of reflective pieces, each of which may independently be substantially flat, curved, tapered, or faceted, the reflective pieces positioned so as to approximate a curved surface.
  • the supports 184 are typically discontinuous, for example support pins, rods, or bumps, so that radiation from the radiant energy source 182 reaches substantially the entire reflective surface 186 of the housing 188 and reflects toward the backside 172 of the substrate 168.
  • a deposition ring 1 18 is disposed around an edge 148 of the substrate receiving surface 1 16.
  • the deposition ring 1 18 may be metal or metal-coated ceramic, for example stainless steel, aluminum oxide, or the like.
  • the deposition ring 1 18 is formed from materials resistant to high temperature processing.
  • the first surface 176 of the deposition ring 1 18 is reflective.
  • the deposition ring 1 18 substantially covers an outer extent 146 of the substrate support 106 to prevent deposition thereon.
  • the deposition ring includes an annular body having a first surface 176 and an opposing second surface 178.
  • the second surface 178 rests, for example, on a ledge 150 formed in the outer extent 146 of the substrate receiving surface 1 16.
  • the deposition ring has a diameter of about 12 to about 15 inches.
  • the deposition ring also includes an opening 180 disposed through a center of the deposition ring 1 18.
  • the opening 180 disposed through the center of the deposition ring 1 18 is sized to expose a predominant portion of the substrate receiving surface 1 16.
  • a substrate 168 disposed on the substrate receiving surface 1 16 contacts the deposition ring 1 18.
  • the substrate 168 may have an outer radius less than an inner radius of the deposition ring 1 18, such that the substrate 168 does not contact the deposition ring 1 18.
  • the substrate support 106 may be positioned for back-side thermal processing of the substrate 168. Any chucking of the substrate 168 is disengaged by interrupting power to the conductor 158 (or vacuum to the substrate receiving surface in a vacuum chuck embodiment), the substrate support 106 retracts, and the lift pins 1 14 are actuated into an extended position. This disengages the substrate 168 from the substrate receiving surface 1 16, and maintains the substrate 168 at the processing location 160 as the substrate support 106 retracts to the thermal processing position below the radiant source plane 126. The substrate backside is thereby exposed to radiation from the radiant source assembly 1 12.
  • the substrate 168 may be moved to a thermal processing location 128 different from the processing location 160 by actuating the lift pins.
  • the processing location 160 may be a material processing location.
  • the thermal processing location may be located above or below the material processing location, as desired, depending on the energy exposure needs of specific embodiments.
  • a substrate 168 is shown in Figure 1 in a thermal processing position.
  • the radiant source assembly 1 12 is powered and energy radiates from the radiant source assembly 1 12 toward the backside of the substrate 168.
  • the backside 172 of the substrate 168 is the substrate surface opposite the surface 170 on which a material process was performed. Besides providing an integrated material and thermal processing chamber, irradiating the backside 172 of the substrate 168 in this fashion may improve energy efficiency of the thermal process by irradiating a less reflective surface of the substrate 168.
  • the material process performed on the substrate 168 forms a reflective layer or partial layer on the surface 170 that reduces energy absorption. Irradiating the backside 172 avoids the increased reflectivity.
  • the reflectivity of the surface 170 may reflect radiation from the radiant source assembly 1 12 that travels through the substrate 168 back through the substrate 168 for further efficiency improvement.
  • the deposition ring 1 18 comprises a first surface 176 configured to increase the amount of radiation reflected from the radiant energy source 182 toward the substrate positioned at the processing location 160 ⁇ e.g., at least portions of the first surface is configured to reflect radiation radially inward toward a central axis 174 of the process chamber).
  • the deposition ring 1 18 may be configured as an extension of the reflective surface 186 of the radiant source assembly 1 12.
  • the first surface 176 is textured to enhance adhesion of material deposited on the first surface 176, thereby reducing any flaking of the deposited material built up on the first surface 176 of the deposition ring 1 18 during substrate processing.
  • the first surface 176 has a roughness of about 80 to about 100 micro inches RMS.
  • Figure 2 shows a cross sectional side view of an exemplary deposition ring 1 18 in accordance with some embodiments of the present invention.
  • Figure 2A depicts a detailed cross-sectional side view of the deposition ring 1 18 of Figure 2.
  • Figures 3A-C depict various non-limiting exemplary embodiments of the first surface 176 of the deposition ring.
  • the first surface 176 of the deposition ring 1 18 comprises at least one reflective portion 204 that is configured to reflect light energy towards a central axis of the deposition ring ⁇ e.g., the deposition ring also is a reflector).
  • the first surface 176 of the deposition ring 1 18 may include one reflective portion 204.
  • the first surface 176 of the deposition ring 1 18 may also include more than one reflective portion 204, as depicted in Figure 3C.
  • first surface 176 of the deposition ring 1 18 may be reflective, as used herein with respect to the deposition ring, the term reflective surface or reflective portion of a surface is used to describe the surface that is configured to reflect light energy toward the central axis of the deposition ring.
  • the reflective portion 204 comprises a predominant portion of the first surface 176. In some embodiments, the reflective portion 204 is about 5 to about 50 percent of the first surface 176.
  • the reflective portion 204 is configured to reflect heat energy toward a central axis 174 of the annular body. In some embodiments, the reflective portion is angled toward a central axis of the annular body at an angle of about 0 to about 30 degrees, or up to about 30 degrees.
  • a first surface 176 including the at least one reflective portion 204 and configured to reflect heat energy toward a central axis 174 of the annular body advantageously increases the amount of radiation directed toward the backside 172 of the substrate, thereby improving ⁇ e.g., reducing) the temperature non-uniformity of the substrate.
  • the at least one reflective portion 204 can be advantageously incorporated into the deposition ring along a portion of the first surface 176 (e.g., having a surface area of about 5 to about 50 percent of the total surface area of the first surface 176) to advantageously improve ⁇ e.g., reduce) the temperature non-uniformity of the substrate while retaining the function of the deposition ring.
  • the reflective portion 204 of the deposition ring 1 18 is coated with a reflective material prior to putting the deposition ring 1 18 into the chamber.
  • the deposition ring 1 18 is coated with a reflective material within the chamber 100.
  • the reflective portion 204 may be coated with a reflective material such as copper, gold, aluminum, or the like.
  • the reflective portion 204 of the deposition ring 1 18 is curved and/or faceted in a way that is compatible with the curvature and/or faceting of the reflective surface 186 of the housing 188, such that the reflective surface 186 of the housing 188 and the reflective portion 204 of the deposition ring 1 18 together form a composite reflector configured to direct as much radiation as possible, as uniformly as possible, from the radiant energy source 182 to the substrate backside positioned above the radiant energy source 182.
  • the first surface 176 includes a sloped surface 210.
  • the reflective portion 204 is disposed proximate the sloped surface 210.
  • the sloped surface advantageously acts to facilitate containment of deposited materials and/or to guide the substrate 168 into position as it is lowered within the central opening of the deposition ring 1 18.
  • the sloped surface 210 may also be a reflective portion (e.g., a second reflective portion), similar to reflective portion 204.
  • the first surface 176 comprises a flat portion 206 disposed proximate an outer periphery of the deposition ring 1 18 to engage a cover ring 166, which may be metal or ceramic, extending outward from the deposition ring 1 18 toward the lid portion 102.
  • the cover ring 166 and flat portion 206 improve the function of the deposition ring 1 18 by controlling gas flow from the upper volume 136 past the cover ring 166 into the lower volume 134.
  • the deposition ring 1 18 engages the cover ring 166.
  • the first surface 176 includes a groove 208.
  • the groove 208 may be disposed radially inward of the flat portion 206.
  • the groove 208 advantageously provides a reservoir for the buildup of deposition material.
  • the sloped surface 210 may be disposed proximate, or adjacent to, the groove 208.
  • the sloped surface 210 may form one wall of the groove 208.
  • FIG 4 is a top view of an exemplary deposition ring 1 18 in accordance with some embodiments of the present invention.
  • the deposition ring 1 18 includes an outer diameter 402, an inner diameter 404, and a central opening 406.
  • the deposition ring 1 18 may include one or more tabs 408 which may assist in positioning the deposition ring.
  • the substrate is typically re-engaged with the substrate receiving surface 1 16 by retracting the lift pins 1 14. Chucking may be re-applied, and cooling gas reestablished to cool the substrate. The substrate support 106 may then be moved into position for further processing, if desired, or back to the transportation location for retrieval of the substrate. When the substrate support 106 is positioned at the transportation location, access to the substrate is provided by extending the lift pins 1 14 so that a robot blade may be inserted between the substrate and the substrate receiving surface 1 16.
  • the substrate need not be positioned at the same location for material (i.e., deposition or implant) and thermal processing.
  • the processing location 160 is the same during material and thermal processing, but it is not required to be so.
  • a thermal processing location may be different from a material processing location.
  • the substrate may be raised or lowered from a material processing location to a thermal processing location.
  • the location of the thermal processing location with respect to the material processing location generally depends on design of the radiant source and the needs of the material process.
  • improved apparatus for improving temperature uniformity across a substrate have been disclosed herein.
  • the inventive apparatus may advantageously facilitate a reflow step to enable material deposited onto the sidewalls of the feature to move to the bottom of the feature, thereby reducing the aspect ratio of a structure.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

Apparatus for improving temperature uniformity across a substrate are provided herein. In some embodiments, a deposition ring for use in a substrate processing system to process a substrate may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; and wherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is about 5 to about 50 percent of a total surface area of the first surface.

Description

REFLECTIVE DEPOSITION RINGS AND SUBSTRATE PROCESSING
CHAMBERS INCORPORATING SAME
FIELD
[0001] Embodiments of the present invention generally relate to semiconductor processing equipment and techniques.
BACKGROUND
[0002] Semiconductor substrates are often subjected to thermal treatment following a material process such as deposition of materials on a substrate containing features formed in the surface thereof. Temperature uniformity across the semiconductor substrate is critical during the thermal treatment to effectively reflow material deposited on the substrate during the deposition phase and provide a more conformal distribution of the material on the substrate and within the features. Some reflow chambers use a reflective surface to direct radiation toward the backside of the semiconductor substrate. However, space constraints within the reflow chamber substantially limit the area of the reflecting surface, adversely affecting the temperature uniformity of the semiconductor substrate.
[0003] Thus, the inventors have provided apparatus for processing substrates that, in at least some embodiments, improves temperature uniformity across a substrate.
SUMMARY
[0004] Apparatus for improving temperature uniformity across a substrate are provided herein. In some embodiments, a deposition ring for use in a substrate processing system to process a substrate may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; and wherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is about 5 to about 50 percent of a total surface area of the first surface. [0005] In some embodiments, a deposition ring for use in a substrate processing system to process a substrate may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; and wherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is at least 5 percent of a total surface area of the first surface.
[0006] In some embodiments, a substrate processing chamber may include a substrate support having a support surface to support a substrate having a given width; a radiant energy source positioned at a peripheral region of the substrate processing chamber; a reflector disposed around the radiant energy source; and a deposition ring. The deposition ring may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over the substrate support, and wherein the opening is sized to expose a predominant portion of the support surface; and at least one reflective portion, disposed on the first surface and configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion is about 5 to about 50 percent of a total surface area of the first surface.
[0007] Other embodiments and variations are discussed in more detail, below.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0009] Figure 1 is a schematic cross-sectional view of a chamber in accordance with some embodiments of the present invention.
[0010] Figure 2 depicts a schematic view of a deposition ring in accordance with some embodiments of the present invention.
[0011] Figure 2A depicts a cross-sectional side view of a deposition ring in accordance with some embodiments of the present invention.
[0012] Figures 3A-C respectively depict cross-sectional side views of deposition rings in accordance with some embodiments of the present invention.
[0013] Figure 4 is a top view of an exemplary deposition ring in accordance with some embodiments of the present invention.
[0014] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0015] Embodiments of the invention provide improved apparatus for processing substrates. In at least some embodiments, the apparatus may provide improved temperature uniformity across a substrate. For example, embodiments consistent with the present invention may be used in a dual-function chamber in which a normal deposition of material on a substrate is followed by a heating of that same substrate. Typically, a first surface of a substrate is highly reflective after material deposition on the first surface, and therefore heating the substrate by means of a high intensity light source on the reflective first surface of the substrate may be inefficient. However, a second surface of a substrate (e.g., a bottom surface), opposite the first surface, may be more absorptive of light energy and may provide better thermal coupling. Additionally, due to space limitations, heat sources must be located such that the movement of the pedestal would not be impeded. Therefore, the heat source may be located outside the periphery of a substrate support pedestal. In embodiments consistent with the present invention, a combination of reflective surfaces and protective shields are provided which reflect the heat energy from the peripheral heat sources towards a substrate.
[0016] Figure 1 depicts a schematic cross-sectional view of a chamber 100 according to some embodiments of the present invention. The chamber 100 is configured for deposition of material on a first side of a substrate and irradiation on a second side of the substrate opposite the first side of the substrate. Such a chamber 100 is a dual-function chamber capable of performing both a material process and a thermal process on a substrate without removing the substrate from the chamber. In the case of a metal deposition process, the thermal process may be a reflow process, for example, to reduce the overhang of metal in recesses of the substrate.
[0017] The chamber 100 has a wall 104 and a lid portion 102 that enclose an interior volume 138 thereof. A substrate support 106 separates the interior volume 138 into an upper volume 136 and a lower volume 134. Process gases are admitted to the upper volume 136 of the chamber through an inlet 108 formed in the lid portion 102, and a substrate 168 disposed on a substrate receiving surface 1 16 of the substrate support 106 is exposed to the process gases at a processing location 160 of the chamber 100.
[0018] In operation, the substrate support 106 moves vertically within the chamber 100, extending and retracting to various positions at different stages of processing. For example, the substrate support 106 may be actuated vertically to move a substrate 168 disposed on the substrate receiving surface 1 16 of the substrate support 106 between the processing location 160 and a transportation location 124 of the chamber. The transportation location 124 defines a location of the substrate 168 at which a substrate handling apparatus (not shown) may manipulate a substrate 168 through a portal 122.
[0019] A plurality of lift pins 1 14 are disposed through the substrate receiving surface 1 16 of the substrate support 106. The plurality of lift pins 1 14 can be extended by actuator 162, moving independently of substrate support 106 by virtue of a motor (not shown) coupled to the actuator 162. For example, in some embodiments, the plurality of lift pins 1 14 can be actuated to lift and maintain a substrate 168 near the processing location 160 while the substrate support 106 retracts below the radiant source plane 126. In some embodiments, the substrate 168 may be positioned at a thermal processing location 128 different from the processing location 160, which may be a material processing location, by actuating the lift pins.
[0020] The substrate receiving surface 1 16 may incorporate an electrostatic chuck, which typically includes a conductor 158 disposed in an insulating substrate receiving surface 1 16. The conductor 158 may be a plate, a wire mesh, or a single- path wire circuitously routed through the substrate receiving surface 1 16. Power is typically coupled to the conductor 158 through a conduit 156 disposed through the shaft 132 of the substrate support. As the substrate receiving surface 1 16 engages the substrate 168, the electrostatic chuck may be energized to immobilize the substrate 168 on the substrate support 106. Cooling gas may also be established through the conduit 130 at that time.
[0021] The substrate support 106, with the substrate positioned thereon, moves the substrate 168 toward the processing locations 128 and 160. The substrate support 106, with the deposition ring 1 18 resting on the ledge 150, passes by the radiant source assembly 1 12 as the substrate support 106 rises toward the processing location 160. When the substrate receiving surface 1 16 reaches the processing location 160, the substrate 168 may be subjected to a material process, such as deposition, implant, or etch. As described below, the deposition ring 1 18 may be configured to engage the cover ring 166, which may be metal or ceramic, extending outward from the deposition ring 1 18 toward the lid portion 102. Engaging cover ring 166 improves the function of the deposition ring 1 18 by controlling gas flow from the upper volume 136 past the cover ring 166 into the lower volume 134. As the substrate support 106 moves toward the processing locations 160 and 128, the deposition ring 1 18 engages the cover ring 166. As the substrate support 106 moves toward the processing location 128 from the processing location 160, the cover ring 166 moves with the deposition ring 1 18 and the substrate support 106.
[0022] A radiant source assembly 1 12 is disposed at a periphery 142 of the chamber 100 and defines a radiant source plane 126 that is between the processing location 160 and the transportation location 124. The radiant source assembly 1 12 typically surrounds the substrate support 106. The radiant source assembly 1 12 includes a housing 188, a radiant energy source 182, at least one support 184 that protrudes from the housing 188 and supports the radiant energy source 182, and a reflective surface 186 of the housing 188. The housing 188 is generally made of a thermally conductive material, such as metal, for example stainless steel. The support 184 may be a thermally conductive material, such as metal, for example stainless steel, or a refractive material such as ceramic. The radiant energy source 182 may be a lamp producing radiation at wavelengths from the infrared to the violet, or a microwave, millimeter wave, terahertz wave, sub-millimeter wave, or far- infrared source. The radiant energy source 182 may produce radiation having wavelengths from about 5x10"2 m to about 1 x10"7 m. Exemplary radiant energy sources include heat lamps, halogen lamps, arc lamps, and coaxial microwave or millimeter wave sources.
[0023] The reflective surface 186 of the housing 188 is shaped to reflect radiation from the radiant energy source 182 toward the backside 172 of a substrate 168 positioned at the processing locations 128 or 160 {e.g., to be a reflector). In some embodiments, the reflective surface 186 of the housing 188 is shaped to allow substantially uniform irradiation of the substrate. The reflective surface 186 of the housing 188 may have any desired shape, such as cylindrical, toroidal, elliptical, oval, or an irregularly curved shape. The reflective surface 186 of the housing 188 may be faceted in addition to, or instead of, being curved. In some embodiments, the reflective surface 186 of the housing 188 may be joined segments of cylinders having the same or different radii of curvature, each of which may also be tapered or faceted in part. In some embodiments, the reflective surface 186 of the housing 188 is a half-toroid. In some embodiments, the reflective surface 186 of the housing 188 comprises a plurality of reflective pieces, each of which may independently be substantially flat, curved, tapered, or faceted, the reflective pieces positioned so as to approximate a curved surface. The supports 184 are typically discontinuous, for example support pins, rods, or bumps, so that radiation from the radiant energy source 182 reaches substantially the entire reflective surface 186 of the housing 188 and reflects toward the backside 172 of the substrate 168. [0024] A deposition ring 1 18 is disposed around an edge 148 of the substrate receiving surface 1 16. The deposition ring 1 18 may be metal or metal-coated ceramic, for example stainless steel, aluminum oxide, or the like. In general, the deposition ring 1 18 is formed from materials resistant to high temperature processing. In addition, as discussed below, the first surface 176 of the deposition ring 1 18 is reflective.
[0025] The deposition ring 1 18 substantially covers an outer extent 146 of the substrate support 106 to prevent deposition thereon. The deposition ring includes an annular body having a first surface 176 and an opposing second surface 178. The second surface 178 rests, for example, on a ledge 150 formed in the outer extent 146 of the substrate receiving surface 1 16. In some embodiments, the deposition ring has a diameter of about 12 to about 15 inches. The deposition ring also includes an opening 180 disposed through a center of the deposition ring 1 18. The opening 180 disposed through the center of the deposition ring 1 18 is sized to expose a predominant portion of the substrate receiving surface 1 16. In some embodiments, a substrate 168 disposed on the substrate receiving surface 1 16 contacts the deposition ring 1 18. In alternate embodiments, the substrate 168 may have an outer radius less than an inner radius of the deposition ring 1 18, such that the substrate 168 does not contact the deposition ring 1 18.
[0026] After processing at the processing location 160 is complete, the substrate support 106 may be positioned for back-side thermal processing of the substrate 168. Any chucking of the substrate 168 is disengaged by interrupting power to the conductor 158 (or vacuum to the substrate receiving surface in a vacuum chuck embodiment), the substrate support 106 retracts, and the lift pins 1 14 are actuated into an extended position. This disengages the substrate 168 from the substrate receiving surface 1 16, and maintains the substrate 168 at the processing location 160 as the substrate support 106 retracts to the thermal processing position below the radiant source plane 126. The substrate backside is thereby exposed to radiation from the radiant source assembly 1 12. If desired, the substrate 168 may be moved to a thermal processing location 128 different from the processing location 160 by actuating the lift pins. In such embodiments, the processing location 160 may be a material processing location. The thermal processing location may be located above or below the material processing location, as desired, depending on the energy exposure needs of specific embodiments. A substrate 168 is shown in Figure 1 in a thermal processing position.
[0027] During thermal processing, the radiant source assembly 1 12 is powered and energy radiates from the radiant source assembly 1 12 toward the backside of the substrate 168. The backside 172 of the substrate 168 is the substrate surface opposite the surface 170 on which a material process was performed. Besides providing an integrated material and thermal processing chamber, irradiating the backside 172 of the substrate 168 in this fashion may improve energy efficiency of the thermal process by irradiating a less reflective surface of the substrate 168. In some embodiments, the material process performed on the substrate 168 forms a reflective layer or partial layer on the surface 170 that reduces energy absorption. Irradiating the backside 172 avoids the increased reflectivity. Moreover, the reflectivity of the surface 170 may reflect radiation from the radiant source assembly 1 12 that travels through the substrate 168 back through the substrate 168 for further efficiency improvement.
[0028] As mentioned above, the deposition ring 1 18 comprises a first surface 176 configured to increase the amount of radiation reflected from the radiant energy source 182 toward the substrate positioned at the processing location 160 {e.g., at least portions of the first surface is configured to reflect radiation radially inward toward a central axis 174 of the process chamber). In some embodiments, the deposition ring 1 18 may be configured as an extension of the reflective surface 186 of the radiant source assembly 1 12.
[0029] In some embodiments, the first surface 176 is textured to enhance adhesion of material deposited on the first surface 176, thereby reducing any flaking of the deposited material built up on the first surface 176 of the deposition ring 1 18 during substrate processing. In some embodiments, the first surface 176 has a roughness of about 80 to about 100 micro inches RMS.
[0030] Figure 2 shows a cross sectional side view of an exemplary deposition ring 1 18 in accordance with some embodiments of the present invention. Figure 2A depicts a detailed cross-sectional side view of the deposition ring 1 18 of Figure 2. Figures 3A-C depict various non-limiting exemplary embodiments of the first surface 176 of the deposition ring.
[0031] In some embodiments, as depicted in Figures 2-2A and 3A-C, the first surface 176 of the deposition ring 1 18 comprises at least one reflective portion 204 that is configured to reflect light energy towards a central axis of the deposition ring {e.g., the deposition ring also is a reflector). For example, as depicted in Figures 2A and 3A-B, the first surface 176 of the deposition ring 1 18 may include one reflective portion 204. The first surface 176 of the deposition ring 1 18 may also include more than one reflective portion 204, as depicted in Figure 3C. Although the entire first surface 176 of the deposition ring 1 18 may be reflective, as used herein with respect to the deposition ring, the term reflective surface or reflective portion of a surface is used to describe the surface that is configured to reflect light energy toward the central axis of the deposition ring.
[0032] In some embodiments, the reflective portion 204 comprises a predominant portion of the first surface 176. In some embodiments, the reflective portion 204 is about 5 to about 50 percent of the first surface 176. The reflective portion 204 is configured to reflect heat energy toward a central axis 174 of the annular body. In some embodiments, the reflective portion is angled toward a central axis of the annular body at an angle of about 0 to about 30 degrees, or up to about 30 degrees. A first surface 176 including the at least one reflective portion 204 and configured to reflect heat energy toward a central axis 174 of the annular body advantageously increases the amount of radiation directed toward the backside 172 of the substrate, thereby improving {e.g., reducing) the temperature non-uniformity of the substrate. Moreover, the inventors have discovered that the at least one reflective portion 204 can be advantageously incorporated into the deposition ring along a portion of the first surface 176 (e.g., having a surface area of about 5 to about 50 percent of the total surface area of the first surface 176) to advantageously improve {e.g., reduce) the temperature non-uniformity of the substrate while retaining the function of the deposition ring. The increase in the amount of radiation directed toward the backside 172 of the substrate is advantageously performed within the space constraints of the reflow chamber. [0033] In some embodiments, the reflective portion 204 of the deposition ring 1 18 is coated with a reflective material prior to putting the deposition ring 1 18 into the chamber. In some embodiments, the deposition ring 1 18 is coated with a reflective material within the chamber 100. The reflective portion 204 may be coated with a reflective material such as copper, gold, aluminum, or the like.
[0034] The reflective portion 204 of the deposition ring 1 18 is curved and/or faceted in a way that is compatible with the curvature and/or faceting of the reflective surface 186 of the housing 188, such that the reflective surface 186 of the housing 188 and the reflective portion 204 of the deposition ring 1 18 together form a composite reflector configured to direct as much radiation as possible, as uniformly as possible, from the radiant energy source 182 to the substrate backside positioned above the radiant energy source 182.
[0035] In some embodiments, the first surface 176 includes a sloped surface 210. In Figures 2A and 3A-B, the reflective portion 204 is disposed proximate the sloped surface 210. The sloped surface advantageously acts to facilitate containment of deposited materials and/or to guide the substrate 168 into position as it is lowered within the central opening of the deposition ring 1 18. In some embodiments, the sloped surface 210 may also be a reflective portion (e.g., a second reflective portion), similar to reflective portion 204.
[0036] In some embodiments, as depicted in Figures 2A and 3A-B, the first surface 176 comprises a flat portion 206 disposed proximate an outer periphery of the deposition ring 1 18 to engage a cover ring 166, which may be metal or ceramic, extending outward from the deposition ring 1 18 toward the lid portion 102. The cover ring 166 and flat portion 206 improve the function of the deposition ring 1 18 by controlling gas flow from the upper volume 136 past the cover ring 166 into the lower volume 134. As the substrate support 106 moves toward the processing locations 160 and 128, the deposition ring 1 18 engages the cover ring 166. As the substrate support 106 moves toward the processing location 128 from the processing location 160, the cover ring 166 moves with the deposition ring 1 18 and the substrate support 106 [0037] In some embodiments, as depicted in Figures 2A and 3A-B, the first surface 176 includes a groove 208. In some embodiments, the groove 208 may be disposed radially inward of the flat portion 206. During substrate processing, the groove 208 advantageously provides a reservoir for the buildup of deposition material. In some embodiments, the sloped surface 210 may be disposed proximate, or adjacent to, the groove 208. For example, in some embodiments, the sloped surface 210 may form one wall of the groove 208.
[0038] Figure 4 is a top view of an exemplary deposition ring 1 18 in accordance with some embodiments of the present invention. The deposition ring 1 18 includes an outer diameter 402, an inner diameter 404, and a central opening 406. In some embodiments, the deposition ring 1 18 may include one or more tabs 408 which may assist in positioning the deposition ring.
[0039] Referring back to Figure 1 , after thermal processing is complete, the substrate is typically re-engaged with the substrate receiving surface 1 16 by retracting the lift pins 1 14. Chucking may be re-applied, and cooling gas reestablished to cool the substrate. The substrate support 106 may then be moved into position for further processing, if desired, or back to the transportation location for retrieval of the substrate. When the substrate support 106 is positioned at the transportation location, access to the substrate is provided by extending the lift pins 1 14 so that a robot blade may be inserted between the substrate and the substrate receiving surface 1 16.
[0040] The substrate need not be positioned at the same location for material (i.e., deposition or implant) and thermal processing. In the foregoing description, it is suggested that the processing location 160 is the same during material and thermal processing, but it is not required to be so. For example, a thermal processing location may be different from a material processing location. The substrate may be raised or lowered from a material processing location to a thermal processing location. The location of the thermal processing location with respect to the material processing location generally depends on design of the radiant source and the needs of the material process. [0041] Thus, improved apparatus for improving temperature uniformity across a substrate have been disclosed herein. The inventive apparatus may advantageously facilitate a reflow step to enable material deposited onto the sidewalls of the feature to move to the bottom of the feature, thereby reducing the aspect ratio of a structure.
[0042] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims

Claims:
1 . A deposition ring for use in a substrate processing system to process a substrate, comprising:
an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; and
wherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is at least about 5 percent of a total surface area of the first surface.
2. The deposition ring of claim 1 , wherein the at least one reflective portion has a surface area that is at least about 5 to about 50 percent of a total surface area of the first surface.
3. The deposition ring of claim 1 , wherein the at least one reflective portion is coated with a reflective material.
4. The deposition ring of claim 1 , wherein the annular body further comprises: a groove disposed in the first surface and configured to receive a buildup of deposition material during substrate processing.
5. The deposition ring of claim 1 , wherein the annular body further comprises: a flat portion disposed proximate an outer periphery of the deposition ring to support a cover ring.
6. The deposition ring of claim 1 , wherein the annular body further comprises: a sloped surface disposed on the first surface and proximate an inner periphery of the deposition ring, wherein the sloped surface is configured to position the substrate over the central opening when the substrate is present.
7. The deposition ring of any of claims 1 -6, wherein the first surface has a roughness of about 80 to about 100 micro inches RMS.
8. The deposition ring of any of claims 1 -6, wherein the deposition ring has a diameter of about 12 to about 15 inches.
9. The deposition ring of any of claims 1 -6, wherein a slope of the at least one reflective portion is about 0 to about 30 degrees.
10. The deposition ring of any of claims 1 -6, wherein the annular body includes a plurality of reflective portions, each of which are angled to reflect heat energy towards the substrate when present.
1 1 . The deposition ring of any of claims 1 -6, wherein the annular body further comprises a first step connecting the second surface to an inner diameter of the annular body.
12. The deposition ring of any of claims 1 -6, wherein the at least one reflective portion is a predominant portion of the first surface.
13. The deposition ring of any of claims 1 -3, further comprising:
a groove disposed in the first surface and configured to receive a buildup of deposition material during substrate processing;
flat portion disposed proximate an outer periphery of the deposition ring to support a cover ring; and
a sloped surface disposed on the first surface and radially outward of and proximate to the groove, wherein the sloped surface is configured to position the substrate over the central opening when the substrate is present.
14. A substrate processing chamber, comprising:
a substrate support having a support surface to support a substrate having a given width;
a radiant energy source positioned at a peripheral region of the substrate processing chamber;
a reflector disposed around the radiant energy source; and
a deposition ring disposed about the support surface of the substrate support, the deposition ring as described in any of the preceding claims.
15. The substrate processing chamber of claim 14, wherein the first surface of the deposition ring is an extension of the reflector.
PCT/US2013/056784 2012-08-30 2013-08-27 Reflective deposition rings and substrate processing chambers incorporating same WO2014035957A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020157007509A KR102117234B1 (en) 2012-08-30 2013-08-27 Reflective deposition rings and substrate processing chambers incorporationg same
CN201380044008.1A CN104584192B (en) 2012-08-30 2013-08-27 Reflect deposition ring and the substrate processing chamber including reflecting deposition ring

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/598,828 2012-08-30
US13/598,828 US9905443B2 (en) 2011-03-11 2012-08-30 Reflective deposition rings and substrate processing chambers incorporating same

Publications (1)

Publication Number Publication Date
WO2014035957A1 true WO2014035957A1 (en) 2014-03-06

Family

ID=50184232

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/056784 WO2014035957A1 (en) 2012-08-30 2013-08-27 Reflective deposition rings and substrate processing chambers incorporating same

Country Status (4)

Country Link
KR (1) KR102117234B1 (en)
CN (1) CN104584192B (en)
TW (1) TWI600108B (en)
WO (1) WO2014035957A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6820866B2 (en) * 2015-05-29 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Processing chamber with reflector
US11961723B2 (en) 2018-12-17 2024-04-16 Applied Materials, Inc. Process kit having tall deposition ring for PVD chamber
USD888903S1 (en) 2018-12-17 2020-06-30 Applied Materials, Inc. Deposition ring for physical vapor deposition chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134429A (en) * 2000-10-12 2002-05-10 Applied Materials Inc Bearing cover for substrate treating apparatus, substrate treating apparatus and heat treating method
US20050191044A1 (en) * 2004-02-27 2005-09-01 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
KR20070041959A (en) * 2005-10-17 2007-04-20 삼성전자주식회사 Plasma treatment equipment
US20070241454A1 (en) * 2006-04-13 2007-10-18 Jun-Ming Chen Capture ring
US20080169282A1 (en) * 2007-01-15 2008-07-17 Khurshed Sorabji Temperature measurement and control of wafer support in thermal processing chamber

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JP2000323487A (en) * 1999-05-14 2000-11-24 Tokyo Electron Ltd Sheet-by-sheet type heat treatment device
US6727176B2 (en) 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
JP5916384B2 (en) * 2008-04-16 2016-05-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Wafer processing deposit shielding component

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134429A (en) * 2000-10-12 2002-05-10 Applied Materials Inc Bearing cover for substrate treating apparatus, substrate treating apparatus and heat treating method
US20050191044A1 (en) * 2004-02-27 2005-09-01 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
KR20070041959A (en) * 2005-10-17 2007-04-20 삼성전자주식회사 Plasma treatment equipment
US20070241454A1 (en) * 2006-04-13 2007-10-18 Jun-Ming Chen Capture ring
US20080169282A1 (en) * 2007-01-15 2008-07-17 Khurshed Sorabji Temperature measurement and control of wafer support in thermal processing chamber

Also Published As

Publication number Publication date
CN104584192A (en) 2015-04-29
KR102117234B1 (en) 2020-06-01
CN104584192B (en) 2018-03-30
KR20150048189A (en) 2015-05-06
TW201413868A (en) 2014-04-01
TWI600108B (en) 2017-09-21

Similar Documents

Publication Publication Date Title
US9905443B2 (en) Reflective deposition rings and substrate processing chambers incorporating same
US9818587B2 (en) Off-angled heating of the underside of a substrate using a lamp assembly
US9376752B2 (en) Edge ring for a deposition chamber
KR102108224B1 (en) Optics for controlling light transmitted through a conical quartz dome
CN105679666B (en) Absorption reflector for semiconductor processing chamber
US10903067B2 (en) Cooled reflective adapter plate for a deposition chamber
US20160111306A1 (en) Optical system
WO2014035957A1 (en) Reflective deposition rings and substrate processing chambers incorporating same
KR20100028990A (en) Substrate processing apparatus
TWI644084B (en) Appratus for pyrometer background elimination
CN109599351A (en) Reflexive lining

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13832464

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20157007509

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 13832464

Country of ref document: EP

Kind code of ref document: A1