KR102117234B1 - Reflective deposition rings and substrate processing chambers incorporationg same - Google Patents

Reflective deposition rings and substrate processing chambers incorporationg same Download PDF

Info

Publication number
KR102117234B1
KR102117234B1 KR1020157007509A KR20157007509A KR102117234B1 KR 102117234 B1 KR102117234 B1 KR 102117234B1 KR 1020157007509 A KR1020157007509 A KR 1020157007509A KR 20157007509 A KR20157007509 A KR 20157007509A KR 102117234 B1 KR102117234 B1 KR 102117234B1
Authority
KR
South Korea
Prior art keywords
substrate
deposition
substrate processing
reflective
deposition ring
Prior art date
Application number
KR1020157007509A
Other languages
Korean (ko)
Other versions
KR20150048189A (en
Inventor
아난타 케이 수브라마니
조셉 엠. 라니쉬
시아오시옹 유안
아쉬쉬 고엘
정 주 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/598,828 external-priority patent/US9905443B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150048189A publication Critical patent/KR20150048189A/en
Application granted granted Critical
Publication of KR102117234B1 publication Critical patent/KR102117234B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Abstract

기판에 걸친 온도 균일성을 개선하기 위한 장치가 본원에 제공된다. 몇몇 실시예들에서, 기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링은, 제 1 표면, 반대편의 제 2 표면, 및 제 1 및 제 2 표면들을 통과하는 중앙 개구를 갖는 환형 본체를 포함할 수 있고, 제 2 표면은, 주어진 폭을 갖는 기판을 지지하는 지지 표면을 갖는 기판 지지부 위에 배치되도록 구성되며, 개구는 지지 표면의 주된 부분을 노출시키도록 크기가 정해지고; 그리고 제 1 표면은 환형 본체의 중앙 축을 향해 열 에너지를 반사하도록 구성된 적어도 하나의 반사성 부분을 포함하고, 적어도 하나의 반사성 부분은 제 1 표면의 전체 표면적의 약 5 내지 약 50 퍼센트인 표면적을 갖는다.Provided herein is an apparatus for improving temperature uniformity across a substrate. In some embodiments, a deposition ring for use in a substrate processing system to process a substrate includes an annular body having a first surface, an opposite second surface, and a central opening passing through the first and second surfaces. The second surface can be configured to be disposed over a substrate support having a support surface supporting a substrate having a given width, the opening being sized to expose a major portion of the support surface; And the first surface includes at least one reflective portion configured to reflect thermal energy towards the central axis of the annular body, and the at least one reflective portion has a surface area that is about 5 to about 50 percent of the total surface area of the first surface.

Description

반사성 증착 링들 및 그를 포함하는 기판 프로세싱 챔버들{REFLECTIVE DEPOSITION RINGS AND SUBSTRATE PROCESSING CHAMBERS INCORPORATIONG SAME}REFLECTIVE DEPOSITION RINGS AND SUBSTRATE PROCESSING CHAMBERS INCORPORATIONG SAME

[0001] 본 발명의 실시예들은 일반적으로, 반도체 프로세싱 장비 및 기술들에 관한 것이다.[0001] Embodiments of the present invention generally relate to semiconductor processing equipment and technologies.

[0002] 반도체 기판들은 보통, 기판의 표면에 형성된 피쳐들(features)을 포함하는 기판 상의 재료들의 증착과 같은 재료 프로세스 이후에 열 처리를 겪는다. 증착 단계(phase) 동안에 기판 상에 증착되는 재료를 효과적으로 리플로우(reflow)하고 그리고 기판 상에 및 피쳐들 내에 재료의 더 컨포멀한(conformal) 분배를 제공하기 위해서, 열 처리 동안에 반도체 기판에 걸친 온도 균일성이 중요하다. 몇몇 리플로우 챔버들은 반도체 기판의 후면(backside)을 향해 복사선(radiation)을 지향시키기 위해 반사성 표면을 사용한다. 그러나, 리플로우 챔버 내의 공간 제약들이 반사 표면의 면적(area)을 실질적으로 제한하고, 반도체 기판의 온도 균일성에 악영향을 준다.Semiconductor substrates usually undergo heat treatment after a material process, such as deposition of materials on a substrate, including features formed on the surface of the substrate. To effectively reflow the material deposited on the substrate during the deposition phase and to provide a more conformal distribution of the material on and within the substrate, it spans the semiconductor substrate during heat treatment. Temperature uniformity is important. Some reflow chambers use a reflective surface to direct radiation toward the backside of the semiconductor substrate. However, space constraints in the reflow chamber substantially limit the area of the reflective surface and adversely affect the temperature uniformity of the semiconductor substrate.

[0003] 따라서, 본 발명자들은, 적어도 몇몇 실시예들에서, 기판에 걸친 온도 균일성을 개선하는, 기판들을 프로세싱하기 위한 장치를 제공하였다.Accordingly, the inventors have provided an apparatus for processing substrates that, in at least some embodiments, improves temperature uniformity across the substrate.

[0004] 기판에 걸친 온도 균일성을 개선하기 위한 장치가 본원에 제공된다. 몇몇 실시예들에서, 기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링은, 제 1 표면, 반대편의 제 2 표면, 및 제 1 및 제 2 표면들을 통과하는 중앙 개구를 갖는 환형 본체를 포함할 수 있고, 제 2 표면은, 주어진 폭을 갖는 기판을 지지하는 지지 표면을 갖는 기판 지지부 위에 배치되도록 구성되며, 개구는 지지 표면의 주된(predominant) 부분을 노출시키도록 크기가 정해지고; 그리고 제 1 표면은 환형 본체의 중앙 축을 향해 열 에너지를 반사하도록 구성된 적어도 하나의 반사성 부분을 포함하고, 적어도 하나의 반사성 부분은 제 1 표면의 전체 표면적의 약 5 내지 약 50 퍼센트인 표면적을 갖는다.[0004] An apparatus for improving temperature uniformity across a substrate is provided herein. In some embodiments, a deposition ring for use in a substrate processing system to process a substrate includes an annular body having a first surface, an opposite second surface, and a central opening passing through the first and second surfaces. The second surface can be configured to be disposed over a substrate support having a support surface supporting a substrate having a given width, the opening being sized to expose a predominant portion of the support surface; And the first surface includes at least one reflective portion configured to reflect thermal energy toward the central axis of the annular body, and the at least one reflective portion has a surface area that is about 5 to about 50 percent of the total surface area of the first surface.

[0005] 몇몇 실시예들에서, 기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링은, 제 1 표면, 반대편의 제 2 표면, 및 제 1 및 제 2 표면들을 통과하는 중앙 개구를 갖는 환형 본체를 포함할 수 있고, 제 2 표면은, 주어진 폭을 갖는 기판을 지지하는 지지 표면을 갖는 기판 지지부 위에 배치되도록 구성되며, 개구는 지지 표면의 주된 부분을 노출시키도록 크기가 정해지고; 그리고 제 1 표면은 환형 본체의 중앙 축을 향해 열 에너지를 반사하도록 구성된 적어도 하나의 반사성 부분을 포함하고, 적어도 하나의 반사성 부분은 제 1 표면의 전체 표면적의 적어도 약 5 퍼센트인 표면적을 갖는다.[0005] In some embodiments, a deposition ring for use in a substrate processing system to process a substrate has an annular shape having a first surface, an opposite second surface, and a central opening passing through the first and second surfaces. May include a body, the second surface being configured to be disposed over a substrate support having a support surface supporting a substrate having a given width, the opening being sized to expose a major portion of the support surface; And the first surface includes at least one reflective portion configured to reflect thermal energy towards the central axis of the annular body, and the at least one reflective portion has a surface area that is at least about 5 percent of the total surface area of the first surface.

[0006] 몇몇 실시예들에서, 기판 프로세싱 챔버는, 주어진 폭을 갖는 기판을 지지하는 지지 표면을 갖는 기판 지지부; 기판 프로세싱 챔버의 주변 영역에 포지셔닝된 복사 에너지 소스; 복사 에너지 소스 주위에 배치된 반사기(reflector); 및 증착 링을 포함할 수 있다. 증착 링은, 제 1 표면, 반대편의 제 2 표면, 및 제 1 및 제 2 표면들을 통과하는 중앙 개구를 갖는 환형 본체를 포함할 수 있고, 제 2 표면은 기판 지지부 위에 배치되도록 구성되며, 개구는 지지 표면의 주된 부분을 노출시키도록 크기가 정해지고; 그리고, 적어도 하나의 반사성 부분은, 제 1 표면 상에 배치되고 환형 본체의 중앙 축을 향해 열 에너지를 반사하도록 구성되며, 적어도 하나의 반사성 부분은 제 1 표면의 전체 표면적의 약 5 내지 약 50 퍼센트이다.[0006] In some embodiments, a substrate processing chamber includes: a substrate support having a support surface that supports a substrate having a given width; A radiant energy source positioned in a peripheral area of the substrate processing chamber; A reflector disposed around the radiant energy source; And a deposition ring. The deposition ring can include an annular body having a first surface, an opposite second surface, and a central opening passing through the first and second surfaces, the second surface being configured to be disposed over the substrate support, the opening Sized to expose a major portion of the support surface; And, the at least one reflective portion is disposed on the first surface and is configured to reflect thermal energy toward the central axis of the annular body, wherein the at least one reflective portion is about 5 to about 50 percent of the total surface area of the first surface .

[0007] 다른 실시예들 및 변형들이 이하에서 더 상세하게 논의된다.[0007] Other embodiments and variations are discussed in more detail below.

[0008] 첨부된 도면들에 도시된 본 발명의 예시적 실시예들을 참조하여, 앞서 간략히 요약되고 이하에서 더 상세하게 논의되는 본 발명의 실시예들이 이해될 수 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 발명의 몇몇 실시예들에 따른 챔버의 개략적인 단면도이다.
[0010] 도 2는 본 발명의 몇몇 실시예들에 따른 증착 링의 개략도를 도시한다.
[0011] 도 2a는 본 발명의 몇몇 실시예들에 따른 증착 링의 측단면도를 도시한다.
[0012] 도 3a-c는 본 발명의 몇몇 실시예들에 따른 증착 링들의 측단면도들을 각각 도시한다.
[0013] 도 4는 본 발명의 몇몇 실시예들에 따른 예시적인 증착 링의 평면도이다.
[0014] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 요소들을 나타내는데 동일한 참조번호들이 사용되었다. 도면들은 실척으로 도시된 것은 아니며(not drawn to scale), 명료함을 위해 단순화될 수 있다. 일 실시예의 요소들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유리하게 포함될 수 있는 것으로 고려된다.
[0008] With reference to the exemplary embodiments of the present invention shown in the accompanying drawings, embodiments of the present invention, which are briefly summarized above and discussed in more detail below, may be understood. It should be noted, however, that the appended drawings illustrate only typical embodiments of the present invention and should not be considered as limiting the scope of the present invention, since the present invention may allow other equally effective embodiments. to be.
1 is a schematic cross-sectional view of a chamber in accordance with some embodiments of the present invention.
2 shows a schematic diagram of a deposition ring in accordance with some embodiments of the present invention.
2A shows a cross-sectional side view of a deposition ring in accordance with some embodiments of the present invention.
3A-C each show side cross-sectional views of deposition rings in accordance with some embodiments of the present invention.
4 is a top view of an exemplary deposition ring in accordance with some embodiments of the present invention.
To facilitate understanding, the same reference numbers have been used, where possible, to denote the same elements common to the figures. The drawings are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be advantageously included in other embodiments without further recitation.

[0015] 본 발명의 실시예들은 기판들을 프로세싱 하기 위한 개선된 장치를 제공한다. 적어도 몇몇 실시예들에서, 장치는 기판에 걸친 개선된 온도 균일성을 제공할 수 있다. 예를 들어, 본 발명과 일치하는 실시예들은, 기판 상에 재료가 정상(normal) 증착되고 이후에 그 동일한 기판이 가열되는 이중-기능 챔버에서 사용될 수 있다. 전형적으로, 기판의 제 1 표면은, 제 1 표면 상의 재료 증착 이후에 매우 반사적이고, 따라서 기판의 반사성 제 1 표면에 대해 고강도 광 소스를 이용해 기판을 가열하는 것은 비효율적일 수 있다. 그러나, 제 1 표면의 반대편의, 기판의 제 2 표면(예를 들어, 바닥부 표면)은 광 에너지에 대해 더 흡수적일 수 있고 더 나은 열 커플링을 제공할 수 있다. 부가적으로, 공간 제한들에 기인하여, 열 소스들은 페데스탈의 이동이 방해받지 않도록 로케이팅 되어야 한다. 따라서, 열 소스는 기판 지지 페데스탈의 둘레(periphery) 외부에 로케이팅될 수 있다. 본 발명과 일치하는 실시예들에서, 주변 열 소스들로부터 기판을 향해 열 에너지를 반사하는 반사성 표면들과 보호성 쉴드들의 조합이 제공된다.[0015] Embodiments of the present invention provide an improved apparatus for processing substrates. In at least some embodiments, the device can provide improved temperature uniformity across the substrate. For example, embodiments consistent with the present invention can be used in a dual-function chamber where material is normally deposited on a substrate and the same substrate is then heated. Typically, the first surface of the substrate is highly reflective after deposition of material on the first surface, and thus it can be inefficient to heat the substrate with a high intensity light source against the reflective first surface of the substrate. However, on the opposite side of the first surface, the second surface of the substrate (eg, the bottom surface) can be more absorbent for light energy and provide better thermal coupling. Additionally, due to space limitations, the heat sources must be located so that movement of the pedestal is not disturbed. Thus, the heat source can be located outside the periphery of the substrate support pedestal. In embodiments consistent with the present invention, a combination of reflective surfaces and protective shields that reflect thermal energy from ambient heat sources toward the substrate is provided.

[0016] 도 1은 본 발명의 몇몇 실시예들에 따른 챔버(100)의 개략적인 단면도를 도시한다. 챔버(100)는 기판의 제 1 면(side) 상의 재료의 증착 및 기판의 제 1 면의 반대편의, 기판의 제 2 면 상의 조사(irradiation)를 위해 구성된다. 그러한 챔버(100)는, 챔버로부터 기판을 제거하지 않고 기판 상에 재료 프로세스와 열 프로세스 양쪽 모두를 수행할 수 있는 이중-기능 챔버이다. 금속 증착 프로세스의 경우에, 열 프로세스는, 예를 들어, 기판의 리세스들의 금속의 돌출(overhang)을 감소시키기 위해서, 리플로우 프로세스일 수 있다.1 shows a schematic cross-sectional view of a chamber 100 in accordance with some embodiments of the present invention. The chamber 100 is configured for deposition of material on the first side of the substrate and irradiation on the second side of the substrate opposite the first side of the substrate. Such a chamber 100 is a dual-functional chamber capable of performing both material and thermal processes on a substrate without removing the substrate from the chamber. In the case of a metal deposition process, the thermal process can be a reflow process, for example, to reduce the metal overhang of the recesses in the substrate.

[0017] 챔버(100)는 챔버의 내부 용적(138)을 에워싸는 벽(104) 및 덮개 부분(102)을 갖는다. 기판 지지부(106)가 내부 용적(138)을 상부 용적(136)과 하부 용적(134)으로 분리한다. 프로세스 가스들은 덮개 부분(102)에 형성된 유입구(108)를 통해서 챔버의 상부 용적(136)으로 진입이 허용되고, 기판 지지부(106)의 기판 수용 표면(116) 상에 배치된 기판(168)이 챔버(100)의 프로세싱 위치(160)에서 프로세스 가스들에 노출된다.[0017] The chamber 100 has a wall 104 and a cover portion 102 surrounding the interior volume 138 of the chamber. The substrate support 106 separates the interior volume 138 into an upper volume 136 and a lower volume 134. Process gases are allowed to enter the upper volume 136 of the chamber through the inlet 108 formed in the lid portion 102, and the substrate 168 disposed on the substrate receiving surface 116 of the substrate support 106 It is exposed to process gases at the processing location 160 of the chamber 100.

[0018] 동작 시에, 기판 지지부(106)는 프로세싱의 상이한 단계들에서 여러 포지션들로 연장(extending) 및 수축(retracting)하면서 챔버(100) 내에서 수직으로 이동한다. 예를 들어, 기판 지지부(106)는, 기판 지지부(106)의 기판 수용 표면(116) 상에 배치된 기판(168)을 챔버의 프로세싱 위치(160)와 운송 위치(124) 사이에서 이동시키기 위해서 수직으로 액츄에이팅될 수 있다. 운송 위치(124)는, 기판 핸들링 장치(도시되지 않음)가 포탈(122)을 통해서 기판(168)을 조작(manipulate)할 수 있는, 기판(168)의 위치를 정의한다.In operation, the substrate support 106 moves vertically within the chamber 100 while extending and retracting to various positions at different stages of processing. For example, the substrate support 106 can move the substrate 168 disposed on the substrate receiving surface 116 of the substrate support 106 between the processing location 160 of the chamber and the transport location 124. It can be actuated vertically. The transport location 124 defines the location of the substrate 168 through which the substrate handling device (not shown) can manipulate the substrate 168 through the portal 122.

[0019] 복수의 리프트 핀들(114)이 기판 지지부(106)의 기판 수용 표면(116)을 통해 배치된다. 복수의 리프트 핀들(114)은, 액츄에이터(162)에 커플링된 모터(도시되지 않음)에 의해서 기판 지지부(106)와 관계없이 이동하면서, 액츄에이터(162)에 의해서 연장될 수 있다. 예를 들어, 몇몇 실시예들에서, 기판 지지부(106)가 복사 소스 평면(126) 아래로 수축하는 동안 복수의 리프트 핀들(114)은 프로세싱 위치(160) 근처에 기판(168)을 리프팅하고 유지하도록 액츄에이팅될 수 있다. 몇몇 실시예들에서, 리프트 핀들을 액츄에이팅함으로써, 기판(168)은 재료 프로세싱 위치일 수 있는 프로세싱 위치(160)와 상이한 열 프로세싱 위치(128)에 포지셔닝될 수 있다.A plurality of lift pins 114 are disposed through the substrate receiving surface 116 of the substrate support 106. The plurality of lift pins 114 may be extended by the actuator 162 while moving regardless of the substrate support 106 by a motor (not shown) coupled to the actuator 162. For example, in some embodiments, a plurality of lift pins 114 lift and hold the substrate 168 near the processing location 160 while the substrate support 106 contracts below the radiation source plane 126. Can be actuated. In some embodiments, by actuating the lift pins, the substrate 168 can be positioned at a thermal processing location 128 different from the processing location 160, which can be a material processing location.

[0020] 기판 수용 표면(116)은 정전 척을 포함할 수 있고, 정전 척은 전형적으로, 절연 기판 수용 표면(116)에 배치된 전도체(158)를 포함한다. 전도체(158)는 기판 수용 표면(116)을 통해 우회적으로 라우팅되는(circuitously routed), 플레이트, 와이어 메쉬, 또는 단일-경로 와이어일 수 있다. 전력은 전형적으로, 기판 지지부의 샤프트(132)를 통해 배치된 도관(156)을 통해서 전도체(158)에 커플링된다. 기판 수용 표면(116)이 기판(168)과 맞물릴 때(engage), 정전 척은 기판 지지부(106) 상의 기판(168)을 고정시키기 위해서 에너자이징될 수 있다. 그 때, 도관(130)을 통해 냉각 가스가 또한 도입될(established) 수 있다.[0020] The substrate receiving surface 116 may include an electrostatic chuck, and the electrostatic chuck typically includes a conductor 158 disposed on the insulating substrate receiving surface 116. Conductor 158 may be a circuitly routed, plate, wire mesh, or single-path wire through substrate receiving surface 116. Power is typically coupled to conductor 158 through conduit 156 disposed through shaft 132 of the substrate support. When the substrate receiving surface 116 engages the substrate 168, the electrostatic chuck can be energized to secure the substrate 168 on the substrate support 106. At that time, cooling gas may also be introduced through conduit 130.

[0021] 기판 지지부(106)는, 기판이 위에 포지셔닝된 상태에서, 기판(168)을 프로세싱 위치들(128 및 160)을 향해 이동시킨다. 기판 지지부(106)가 프로세싱 위치(160)를 향해 상승함에 따라, 기판 지지부(106)는, 증착 링(118)이 렛지(150) 상에 놓인 상태에서, 복사 소스 조립체(112) 옆을 지나간다. 기판 수용 표면(116)이 프로세싱 위치(160)에 도달할 때, 기판(168)은, 증착, 주입(implant), 또는 에칭과 같은 재료 프로세스를 겪을 수 있다. 이하에서 설명되는 바와 같이, 금속 또는 세라믹일 수 있는 증착 링(118)은 증착 링(118)으로부터 덮개 부분(102)을 향해 외측으로 연장하는 커버 링(166)과 맞물리도록 구성될 수 있다. 커버 링(166)과 맞물리는 것은, 상부 용적(136)으로부터 커버 링(166)을 지나 하부 용적(134) 내로의 가스 유동을 제어함으로써, 증착 링(118)의 기능을 개선한다. 기판 지지부(106)가 프로세싱 위치들(160 및 128)을 향해 이동함에 따라, 증착 링(118)이 커버 링(166)과 맞물린다. 기판 지지부(106)가 프로세싱 위치(160)로부터 프로세싱 위치(128)를 향해 이동함에 따라, 커버 링(166)은 증착 링(118) 및 기판 지지부(106)와 함께 이동한다.The substrate support 106 moves the substrate 168 towards the processing locations 128 and 160, with the substrate positioned over it. As the substrate support 106 rises towards the processing location 160, the substrate support 106 passes next to the radiation source assembly 112, with the deposition ring 118 resting on the ledge 150. When substrate receiving surface 116 reaches processing location 160, substrate 168 may undergo a material process, such as deposition, implant, or etching. As described below, the deposition ring 118, which can be metallic or ceramic, can be configured to engage a cover ring 166 that extends outwardly from the deposition ring 118 toward the cover portion 102. Engaging the cover ring 166 improves the function of the deposition ring 118 by controlling the gas flow from the upper volume 136 through the cover ring 166 into the lower volume 134. As the substrate support 106 moves towards the processing locations 160 and 128, the deposition ring 118 engages the cover ring 166. As substrate support 106 moves from processing location 160 toward processing location 128, cover ring 166 moves with deposition ring 118 and substrate support 106.

[0022] 복사 소스 조립체(112)는 챔버(100)의 둘레(142)에 배치되고, 프로세싱 위치(160)와 운송 위치(124) 사이에 있는 복사 소스 평면(126)을 정의한다. 복사 소스 조립체(112)는 전형적으로, 기판 지지부(106)를 둘러싼다. 복사 소스 조립체(112)는 하우징(188), 복사 에너지 소스(182), 하우징(188)으로부터 돌출되어 복사 에너지 소스(182)를 지지하는 적어도 하나의 지지부(184), 및 하우징(188)의 반사성 표면(186)을 포함한다. 하우징(188)은 일반적으로, 열 전도성 재료, 예컨대 금속, 예를 들어 스테인리스 스틸로 만들어진다. 지지부(184)는 열 전도성 재료, 예컨대 금속, 예를 들어 스테인리스 스틸, 또는 세라믹과 같은 굴절 재료(refractive material)일 수 있다. 복사 에너지 소스(182)는, 적외선으로부터 자외선까지의 파장들, 또는 마이크로파, 밀리미터파, 테라헤르츠파, 서브-밀리미터파의 복사선을 생성하는 램프, 또는 원적외선 소스일 수 있다. 복사 에너지 소스(182)는 약 5x10-2m 내지 약 1x10-7m 의 파장들을 갖는 복사선을 생성할 수 있다. 예시적인 복사 에너지 소스들은 가열 램프들, 할로겐 램프들, 아크 램프들, 및 동축형 마이크로파 또는 밀리미터파 소스들을 포함한다.The radiation source assembly 112 is disposed around the perimeter 142 of the chamber 100 and defines a radiation source plane 126 between the processing location 160 and the transport location 124. The radiation source assembly 112 typically surrounds the substrate support 106. The radiation source assembly 112 includes a housing 188, a radiation energy source 182, at least one support 184 protruding from the housing 188 to support the radiation energy source 182, and the reflectivity of the housing 188 Surface 186. Housing 188 is generally made of a thermally conductive material, such as a metal, eg stainless steel. The support 184 may be a thermally conductive material, such as a metal, eg, stainless steel, or a refractive material such as ceramic. The radiant energy source 182 can be a lamp that produces wavelengths from infrared to ultraviolet light, or radiation of microwave, millimeter wave, terahertz wave, sub-millimeter wave, or far infrared source. The radiant energy source 182 can generate radiation having wavelengths from about 5x10 -2 m to about 1x10 -7 m. Exemplary radiant energy sources include heating lamps, halogen lamps, arc lamps, and coaxial microwave or millimeter wave sources.

[0023] 하우징(188)의 반사성 표면(186)은, 복사 에너지 소스(182)로부터, 프로세싱 위치들(128 또는 160)에 포지셔닝된 기판(168)의 후면(172)을 향해 복사선을 반사하도록 (예를 들어, 반사기가 되도록) 성형된다. 몇몇 실시예들에서, 하우징(188)의 반사성 표면(186)은 기판의 실질적으로 균일한 조사를 허용하도록 성형된다. 하우징(188)의 반사성 표면(186)은 원통형, 토로이달형(toroidal), 타원형(elliptical), 오발형(oval), 또는 불규칙하게 만곡된(curved) 형상과 같은, 임의의 원하는 형상을 가질 수 있다. 하우징(188)의 반사성 표면(186)은 만곡되는 것에 부가하여, 또는 만곡되는 대신에, 패싯팅될(faceted) 수 있다. 몇몇 실시예들에서, 하우징(188)의 반사성 표면(186)은, 동일한 또는 상이한 반경들의 곡률을 갖는 원통들의 결합된 세그먼트들일 수 있고, 이들의 각각은 또한, 부분적으로 테이퍼지거나(tapered) 패싯팅될 수 있다. 몇몇 실시예들에서, 하우징(188)의 반사성 표면(186)은 절반-토로이드(half-toroid)이다. 몇몇 실시예들에서, 하우징(188)의 반사성 표면(186)은 복수의 반사성 피스들(pieces)을 포함하고, 반사성 피스들의 각각은 독립적으로, 실질적으로 편평하거나, 만곡되거나, 테이퍼지거나, 또는 패싯팅될 수 있으며, 반사성 피스들은 만곡된 표면과 비슷하도록 포지셔닝된다. 지지부들(184)은 전형적으로 불연속적인데, 예를 들어 지지 핀들, 로드들, 또는 범프들이며, 이에 의해서, 복사 에너지 소스(182)로부터의 복사선은 하우징(188)의 실질적으로 전체 반사성 표면(186)에 도달하고 기판(168)의 후면(172)을 향해 반사된다.The reflective surface 186 of the housing 188 reflects radiation from the radiant energy source 182 toward the back 172 of the substrate 168 positioned at the processing locations 128 or 160 ( For example, to be a reflector). In some embodiments, the reflective surface 186 of the housing 188 is shaped to allow substantially uniform irradiation of the substrate. The reflective surface 186 of the housing 188 can have any desired shape, such as cylindrical, toroidal, elliptical, oval, or irregularly curved shape. . The reflective surface 186 of the housing 188 can be faceted in addition to or instead of being curved. In some embodiments, the reflective surface 186 of the housing 188 can be combined segments of cylinders having the same or different radii of curvature, each of which is also partially tapered or faceted Can be. In some embodiments, the reflective surface 186 of the housing 188 is a half-toroid. In some embodiments, reflective surface 186 of housing 188 includes a plurality of reflective pieces, each of the reflective pieces being independently, substantially flat, curved, tapered, or faceted It can be tinted, and the reflective pieces are positioned to resemble a curved surface. The supports 184 are typically discontinuous, for example support pins, rods, or bumps, whereby radiation from the radiant energy source 182 is substantially the entire reflective surface 186 of the housing 188. ) And is reflected toward the back side 172 of the substrate 168.

[0024] 증착 링(118)은 기판 수용 표면(116)의 엣지(148) 주위에 배치된다. 증착 링(118)은 금속 또는 금속-코팅된 세라믹, 예를 들어 스테인리스 스틸, 알루미늄 옥사이드, 등일 수 있다. 일반적으로, 증착 링(118)은 고온 프로세싱에 대해 내성을 갖는 재료들로 형성된다. 부가적으로, 이하에서 논의되는 바와 같이, 증착 링(118)의 제 1 표면(176)은 반사적이다.The deposition ring 118 is disposed around the edge 148 of the substrate receiving surface 116. The deposition ring 118 can be a metal or metal-coated ceramic, such as stainless steel, aluminum oxide, and the like. Generally, the deposition ring 118 is formed of materials resistant to high temperature processing. Additionally, as discussed below, the first surface 176 of the deposition ring 118 is reflective.

[0025] 증착 링(118)은 기판 지지부(106) 상의 증착을 방지하기 위해서 기판 지지부(106)의 외측 범위(outer extent)(146)를 실질적으로 커버한다. 증착 링은 제 1 표면(176) 및 반대편의 제 2 표면(178)을 갖는 환형 본체를 포함한다. 제 2 표면(178)은, 예를 들어, 기판 수용 표면(116)의 외측 범위(146)에 형성된 렛지(150) 상에 놓인다. 몇몇 실시예들에서, 증착 링은 약 12 내지 약 15인치의 직경을 갖는다. 증착 링은 또한, 증착 링(118)의 중앙을 통해 배치된 개구(180)를 포함한다. 증착 링(118)의 중앙을 통해 배치된 개구(180)는 기판 수용 표면(116)의 주된 부분을 노출시키도록 크기가 정해진다. 몇몇 실시예들에서, 기판 수용 표면(116) 상에 배치된 기판(168)은 증착 링(118)과 접촉한다. 대안적인 실시예들에서, 기판(168)은 증착 링(118)의 내측 반경보다 작은 외측 반경을 가질 수 있고, 이에 의해서, 기판(168)은 증착 링(118)과 접촉하지 않는다.The deposition ring 118 substantially covers the outer extent 146 of the substrate support 106 to prevent deposition on the substrate support 106. The deposition ring includes an annular body having a first surface 176 and an opposite second surface 178. The second surface 178 is, for example, overlying the ledge 150 formed in the outer range 146 of the substrate receiving surface 116. In some embodiments, the deposition ring has a diameter of about 12 to about 15 inches. The deposition ring also includes an opening 180 disposed through the center of the deposition ring 118. The opening 180 disposed through the center of the deposition ring 118 is sized to expose a major portion of the substrate receiving surface 116. In some embodiments, the substrate 168 disposed on the substrate receiving surface 116 contacts the deposition ring 118. In alternative embodiments, the substrate 168 may have an outer radius smaller than the inner radius of the deposition ring 118, whereby the substrate 168 does not contact the deposition ring 118.

[0026] 프로세싱 위치(160)에서의 프로세싱이 완료된 이후에, 기판 지지부(106)는 기판(168)의 후면 열 프로세싱을 위해서 포지셔닝될 수 있다. 기판(168)에 대한 임의의 척킹이, 전도체(158)로의 전력(또는 진공 척 실시예에서 기판 수용 표면으로의 진공)을 차단함으로써 맞물림해제되고(disengaged), 기판 지지부(106)가 수축하며, 그리고 리프트 핀들(114)이 연장된 포지션 내로 액츄에이팅된다. 이는 기판(168)을 기판 수용 표면(116)으로부터 맞물림해제하고, 그리고, 기판 지지부(106)가 복사 소스 평면(126) 아래의 열 프로세싱 포지션으로 수축할 때 기판(168)을 프로세싱 위치(160)에 유지한다. 이에 의해서 기판 후면은 복사 소스 조립체(112)로부터의 복사선에 노출된다. 원한다면, 리프트 핀들을 액츄에이팅함으로써, 프로세싱 위치(160)와 상이한 열 프로세싱 위치(128)로 기판(168)이 이동될 수 있다. 그러한 실시예들에서, 프로세싱 위치(160)는 재료 프로세싱 위치일 수 있다. 열 프로세싱 위치는, 원하는대로, 특정 실시예들의 에너지 노출 필요들에 따라서, 재료 프로세싱 위치의 위 또는 아래에 로케이팅될 수 있다. 기판(168)은 도 1에서 열 프로세싱 포지션에 있는 것으로 도시된다.After processing at the processing location 160 is complete, the substrate support 106 can be positioned for rear thermal processing of the substrate 168. Any chucking to the substrate 168 is disengaged by blocking power to the conductor 158 (or vacuum to the substrate receiving surface in a vacuum chuck embodiment), and the substrate support 106 contracts, And the lift pins 114 are actuated into the extended position. This disengages the substrate 168 from the substrate receiving surface 116, and when the substrate support 106 contracts to a thermal processing position below the radiation source plane 126, the substrate 168 is processed at the processing location 160. Keep on. Thereby, the back surface of the substrate is exposed to radiation from the radiation source assembly 112. If desired, the substrate 168 can be moved to a thermal processing location 128 different from the processing location 160 by actuating the lift pins. In such embodiments, processing location 160 may be a material processing location. The thermal processing location can be located above or below the material processing location, as desired, depending on the energy exposure needs of certain embodiments. The substrate 168 is shown in FIG. 1 as being in a thermal processing position.

[0027] 열 프로세싱 동안에, 복사 소스 조립체(112)에 전력이 공급되고 복사 소스 조립체(112)로부터 기판(168)의 후면을 향해 에너지가 복사된다. 기판(168)의 후면(172)은 재료 프로세스가 수행된 표면(170)의 반대편의 기판 표면이다. 통합형 재료 및 열 프로세싱 챔버를 제공하는 것에 더하여, 이러한 방식으로 기판(168)의 후면(172)을 조사하는 것은, 기판(168)의 덜 반사적인 표면을 조사하는 것에 의한 열 프로세스의 에너지 효율을 개선할 수 있다. 몇몇 실시예들에서, 기판(168) 상에 수행된 재료 프로세스는, 에너지 흡수를 감소시키는 반사성 층 또는 부분적 층을 표면(170) 상에 형성한다. 후면(172)을 조사하는 것은 증가된 반사율(reflectivity)을 회피한다. 게다가, 표면(170)의 반사율은, 추가적인 효율 개선을 위해서, 기판(168)을 통해서 이동하는, 복사 소스 조립체(112)로부터의 복사선을 다시 기판(168)을 통해서 반사할 수 있다.During thermal processing, power is supplied to the radiation source assembly 112 and energy is radiated from the radiation source assembly 112 toward the back surface of the substrate 168. The backside 172 of the substrate 168 is the substrate surface opposite the surface 170 on which the material process has been performed. In addition to providing an integrated material and thermal processing chamber, irradiating the backside 172 of the substrate 168 in this manner improves the energy efficiency of the thermal process by irradiating the less reflective surface of the substrate 168. can do. In some embodiments, the material process performed on the substrate 168 forms a reflective layer or partial layer on the surface 170 that reduces energy absorption. Irradiating the back side 172 avoids increased reflectivity. In addition, the reflectivity of the surface 170 may reflect radiation from the radiation source assembly 112 back through the substrate 168, moving through the substrate 168, for further efficiency improvement.

[0028] 상기 언급된 바와 같이, 증착 링(118)은, 복사 에너지 소스(182)로부터, 프로세싱 위치(160)에 포지셔닝된 기판을 향해 반사되는 복사선의 양을 증가시키도록 구성된 제 1 표면(176)을 포함한다(예를 들어, 제 1 표면의 적어도 부분들은 프로세스 챔버의 중앙 축(174)을 향해 방사상 내측으로 복사선을 반사하도록 구성된다). 몇몇 실시예들에서, 증착 링(118)은 복사 소스 조립체(112)의 반사성 표면(186)의 연장부로서 구성될 수 있다.As noted above, the deposition ring 118 is a first surface 176 configured to increase the amount of radiation reflected from the radiant energy source 182 toward the substrate positioned at the processing location 160. ) (Eg, at least portions of the first surface are configured to reflect radiation radially inward toward the central axis 174 of the process chamber). In some embodiments, deposition ring 118 may be configured as an extension of reflective surface 186 of radiation source assembly 112.

[0029] 몇몇 실시예들에서, 제 1 표면(176)은 제 1 표면(176) 상에 증착된 재료의 점착력(adhesion)을 강화하도록 텍스쳐링(textured)되고, 이에 의해서 기판 프로세싱 동안에 증착 링(118)의 제 1 표면(176) 상에 축적된(built up) 증착된 재료의 임의의 플레이킹(flaking)을 감소시킨다. 몇몇 실시예들에서, 제 1 표면(176)은 약 80 내지 약 100 마이크로 인치 RMS의 거칠기를 갖는다.In some embodiments, first surface 176 is textured to enhance adhesion of the material deposited on first surface 176, thereby depositing ring 118 during substrate processing ) To reduce any flaking of the deposited material built up on the first surface 176. In some embodiments, first surface 176 has a roughness of about 80 to about 100 micro inches RMS.

[0030] 도 2는 본 발명의 몇몇 실시예들에 따른 예시적인 증착 링(118)의 측단면도를 도시한다. 도 2a는 도 2의 증착 링(118)의 상세한 측단면도를 도시한다. 도 3a-c는 증착 링의 제 1 표면(176)의 다양하고 비-제한적인 예시적 실시예들을 도시한다.2 shows a cross-sectional side view of an exemplary deposition ring 118 in accordance with some embodiments of the present invention. 2A shows a detailed side cross-sectional view of the deposition ring 118 of FIG. 2. 3A-C show various non-limiting exemplary embodiments of the first surface 176 of the deposition ring.

[0031] 몇몇 실시예들에서, 도 2-2a 및 3a-c에서 도시된 바와 같이, 증착 링(118)의 제 1 표면(176)은, 광 에너지를 증착 링의 중앙 축을 향해 반사하도록 구성된 적어도 하나의 반사성 부분(204)을 포함한다(예를 들어, 증착 링이 또한 반사기이다). 예를 들어, 도 2a 및 3a-b에서 도시된 바와 같이, 증착 링(118)의 제 1 표면(176)은 하나의 반사성 부분(204)을 포함할 수 있다. 증착 링(118)의 제 1 표면(176)은 또한, 도 3c에 도시된 바와 같이, 하나 초과의 반사성 부분(204)을 포함할 수 있다. 증착 링(118)의 제 1 표면(176) 전체가 반사적일 수 있지만, 증착 링과 관련하여 본원에서 사용된 바와 같이, 반사성 표면 또는 표면의 반사성 부분이라는 용어는 광 에너지를 증착 링의 중앙 축을 향해 반사하도록 구성된 표면을 설명하는 데에 사용된다.In some embodiments, as shown in FIGS. 2-2A and 3A-C, the first surface 176 of the deposition ring 118 is configured to reflect light energy toward a central axis of the deposition ring, at least It includes one reflective portion 204 (eg, a deposition ring is also a reflector). For example, as shown in FIGS. 2A and 3A-B, the first surface 176 of the deposition ring 118 can include one reflective portion 204. The first surface 176 of the deposition ring 118 can also include more than one reflective portion 204, as shown in FIG. 3C. Although the entire first surface 176 of the deposition ring 118 may be reflective, as used herein in connection with the deposition ring, the term reflective surface or reflective portion of the surface directs light energy toward the central axis of the deposition ring. Used to describe surfaces that are configured to reflect.

[0032] 몇몇 실시예들에서, 반사성 부분(204)은 제 1 표면(176)의 주된 부분을 포함한다. 몇몇 실시예들에서, 반사성 부분(204)은 제 1 표면(176)의 약 5 내지 약 50 퍼센트이다. 반사성 부분(204)은 열 에너지를 환형 본체의 중앙 축(174)을 향해 반사하도록 구성된다. 몇몇 실시예들에서, 반사성 부분은 환형 본체의 중앙 축을 향하여 약 0 내지 약 30도, 또는 약 30도에 이르기까지의 각도로 각을 이룬다. 적어도 하나의 반사성 부분(204)을 포함하고 열 에너지를 환형 본체의 중앙 축(174)을 향해 반사하도록 구성된 제 1 표면(176)은 기판의 후면(172)을 향해 지향된 복사선의 양을 유리하게 증가시키고, 이에 의해서 기판의 온도 불-균일성을 개선한다(예를 들어, 감소시킨다). 게다가, 본 발명자들은, 증착 링의 기능을 유지하면서 기판의 온도 불-균일성을 유리하게 개선하기 위해서(예를 들어, 감소시키기 위해서), 적어도 하나의 반사성 부분(204)이 제 1 표면(176)의 부분(예를 들어, 제 1 표면(176)의 전체 표면적의 약 5 내지 약 50 퍼센트의 표면적을 가짐)을 따라서 증착 링 내에 유리하게 포함될 수 있다는 것을 발견하였다. 기판의 후면(172)을 향해 지향된 복사선의 양의 증가는 리플로우 챔버의 공간 제약들 내에서 유리하게 수행된다.In some embodiments, reflective portion 204 includes a major portion of first surface 176. In some embodiments, reflective portion 204 is from about 5 to about 50 percent of first surface 176. The reflective portion 204 is configured to reflect thermal energy towards the central axis 174 of the annular body. In some embodiments, the reflective portion is angled from about 0 to about 30 degrees, or about 30 degrees, toward the central axis of the annular body. The first surface 176 comprising at least one reflective portion 204 and configured to reflect thermal energy toward the central axis 174 of the annular body advantageously favors the amount of radiation directed towards the back 172 of the substrate. Increase, thereby improving the temperature non-uniformity of the substrate (eg, decreasing). In addition, the present inventors believe that in order to advantageously improve (eg, reduce) the temperature non-uniformity of the substrate while maintaining the function of the deposition ring, at least one reflective portion 204 has a first surface 176 ) (E.g., having a surface area of about 5 to about 50 percent of the total surface area of the first surface 176). The increase in the amount of radiation directed towards the backside 172 of the substrate is advantageously performed within the space constraints of the reflow chamber.

[0033] 몇몇 실시예들에서, 증착 링(118)을 챔버 내로 넣기 전에 증착 링(118)의 반사성 부분(204)이 반사성 재료로 코팅된다. 몇몇 실시예들에서, 증착 링(118)은 챔버(100) 내에서 반사성 재료로 코팅된다. 반사성 부분(204)은 구리, 금, 알루미늄, 등과 같은 반사성 재료로 코팅될 수 있다.[0033] In some embodiments, the reflective portion 204 of the deposition ring 118 is coated with a reflective material before placing the deposition ring 118 into the chamber. In some embodiments, deposition ring 118 is coated with a reflective material within chamber 100. The reflective portion 204 can be coated with a reflective material such as copper, gold, aluminum, and the like.

[0034] 증착 링(118)의 반사성 부분(204)은 하우징(188)의 반사성 표면(186)의 곡률 및/또는 패싯팅과 양립 가능한 방식으로 만곡되고 그리고/또는 패싯팅되며, 이에 의해, 하우징(188)의 반사성 표면(186)과 증착 링(118)의 반사성 부분(204)은, 복사 에너지 소스(182)로부터 복사 에너지 소스(182)의 위에 포지셔닝된 기판 후면으로, 가능한 한 많은 복사선을, 가능한 한 균일하게 지향시키도록 구성된 복합(composite) 반사기를 함께 형성한다.The reflective portion 204 of the deposition ring 118 is curved and / or faceted in a manner compatible with the curvature and / or faceting of the reflective surface 186 of the housing 188, whereby the housing The reflective surface 186 of 188 and the reflective portion 204 of the deposition ring 118, radiate as much radiation as possible from the radiant energy source 182 to the back of the substrate positioned over the radiant energy source 182, Composite reflectors configured to direct as uniformly as possible are formed together.

[0035] 몇몇 실시예들에서, 제 1 표면(176)은 경사진(sloped) 표면(210)을 포함한다. 도 2a 및 3a-b에서, 반사성 부분(204)은 경사진 표면(210) 근처에 배치된다. 경사진 표면은 유리하게, 증착된 재료들의 억제를 용이하게 하도록 그리고/또는 기판(168)이 증착 링(118)의 중앙 개구 내에 하강될 때 기판(168)을 포지션 내로 안내하도록 작용한다. 몇몇 실시예들에서, 경사진 표면(210)은 또한, 반사성 부분(204)과 유사하게, 반사성 부분일 수 있다(예를 들어, 제 2 반사성 부분).In some embodiments, the first surface 176 includes a sloped surface 210. 2A and 3A-B, the reflective portion 204 is disposed near the inclined surface 210. The inclined surface advantageously serves to facilitate suppression of deposited materials and / or to guide the substrate 168 into position when the substrate 168 is lowered within the central opening of the deposition ring 118. In some embodiments, the inclined surface 210 can also be a reflective portion (eg, a second reflective portion), similar to the reflective portion 204.

[0036] 몇몇 실시예들에서, 도 2a 및 3a-b에서 도시된 바와 같이, 제 1 표면(176)은, 증착 링(118)으로부터 덮개 부분(102)을 향해 외측으로 연장하는 커버 링(166)과 맞물리도록. 금속 또는 세라믹일 수 있는 증착 링(118)의 외측 둘레 근처에 배치된 편평한 부분(206)을 포함한다. 커버 링(166) 및 편평한 부분(206)은, 상부 용적(136)으로부터 커버 링(166)을 지나 하부 용적(134) 내로의 가스 유동을 제어함으로써, 증착 링(118)의 기능을 개선한다. 기판 지지부(106)가 프로세싱 위치들(160 및 128)을 향해 이동함에 따라, 증착 링(118)은 커버 링(166)과 맞물린다. 기판 지지부(106)가 프로세싱 위치(160)로부터 프로세싱 위치(128)를 향해 이동함에 따라, 커버 링(166)은 증착 링(118) 및 기판 지지부(106)와 함께 이동한다.In some embodiments, as shown in FIGS. 2A and 3A-B, the first surface 176 is a cover ring 166 that extends outwardly from the deposition ring 118 toward the cover portion 102. ). And a flat portion 206 disposed near the outer circumference of the deposition ring 118, which may be metal or ceramic. The cover ring 166 and the flat portion 206 improve the function of the deposition ring 118 by controlling the gas flow from the upper volume 136 through the cover ring 166 into the lower volume 134. As substrate support 106 moves toward processing locations 160 and 128, deposition ring 118 engages cover ring 166. As the substrate support 106 moves from the processing location 160 towards the processing location 128, the cover ring 166 moves with the deposition ring 118 and the substrate support 106.

[0037] 몇몇 실시예들에서, 도 2a 및 3a-b에서 도시된 바와 같이, 제 1 표면(176)은 그루브(208)를 포함한다. 몇몇 실시예들에서, 그루브(208)는 편평한 부분(206)의 방사상 내측에 배치될 수 있다. 기판 프로세싱 동안에, 그루브(208)는 유리하게, 증착 재료의 축적을 위한 저장소를 제공한다. 몇몇 실시예들에서, 경사진 표면(210)은 그루브(208) 근처에, 또는 그에 인접하여 배치될 수 있다. 예를 들어, 몇몇 실시예들에서, 경사진 표면(210)은 그루브(208)의 일 벽을 형성할 수 있다.In some embodiments, as shown in FIGS. 2A and 3A-B, the first surface 176 includes a groove 208. In some embodiments, groove 208 may be disposed radially inside of flat portion 206. During substrate processing, groove 208 advantageously provides a reservoir for accumulation of deposition material. In some embodiments, the sloped surface 210 can be disposed near or adjacent the groove 208. For example, in some embodiments, the inclined surface 210 can form a wall of the groove 208.

[0038] 도 4는 본 발명의 몇몇 실시예들에 따른 예시적인 증착 링(118)의 평면도이다. 증착 링(118)은 외측 직경(402), 내측 직경(404), 및 중앙 개구(406)를 포함한다. 몇몇 실시예들에서, 증착 링(118)은 증착 링을 포지셔닝하는 것을 보조할 수 있는 하나 또는 그 초과의 탭들(tabs)(408)을 포함할 수 있다.4 is a top view of an exemplary deposition ring 118 in accordance with some embodiments of the present invention. The deposition ring 118 includes an outer diameter 402, an inner diameter 404, and a central opening 406. In some embodiments, the deposition ring 118 can include one or more tabs 408 that can assist in positioning the deposition ring.

[0039] 다시 도 1을 참조하면, 열 프로세싱이 완료된 후에, 기판은 전형적으로, 리프트 핀들(114)을 수축하는 것에 의해서 기판 수용 표면(116)과 다시 맞물린다. 척킹이 다시 적용될 수 있고, 그리고 기판을 냉각하기 위해서 냉각 가스가 다시 도입될 수 있다. 그런 다음에 기판 지지부(106)는, 원한다면, 추가적인 프로세싱을 위한 포지션 내로 이동될 수 있거나, 또는 기판의 회수를 위해 다시 운송 위치로 이동될 수 있다. 기판 지지부(106)가 운송 위치에 포지셔닝될 때, 로봇 블레이드가 기판과 기판 수용 표면(116) 사이에 삽입될 수 있도록 리프트 핀들(114)을 연장하는 것에 의해서 기판으로의 액세스가 제공된다.Referring back to FIG. 1, after heat processing is complete, the substrate is again engaged with the substrate receiving surface 116 by shrinking the lift pins 114. Chucking can be applied again, and cooling gas can be introduced again to cool the substrate. The substrate support 106 can then be moved into position for further processing, if desired, or moved back to the transport position for recovery of the substrate. When the substrate support 106 is positioned in the transport position, access to the substrate is provided by extending the lift pins 114 so that a robot blade can be inserted between the substrate and the substrate receiving surface 116.

[0040] 기판은 재료(즉, 증착 또는 주입) 및 열 프로세싱을 위해서 동일한 위치에 포지셔닝될 필요가 없다. 전술한 내용에서, 프로세싱 위치(160)가 재료 및 열 프로세싱 동안에 동일한 것으로 제안되지만, 그렇게 되도록 요구되는 것은 아니다. 예를 들어, 열 프로세싱 위치는 재료 프로세싱 위치와 상이할 수 있다. 기판은 재료 프로세싱 위치로부터 열 프로세싱 위치로 상승되거나 하강될 수 있다. 재료 프로세싱 위치에 대한 열 프로세싱 위치의 위치는 일반적으로, 복사 소스의 설계와 재료 프로세스의 필요들에 따른다.The substrate does not need to be positioned in the same location for material (ie deposition or implantation) and thermal processing. In the foregoing, processing location 160 is suggested to be the same during material and thermal processing, but is not required to be so. For example, the thermal processing location can be different from the material processing location. The substrate can be raised or lowered from the material processing location to the thermal processing location. The location of the thermal processing location relative to the material processing location generally depends on the design of the radiation source and the needs of the material process.

[0041] 따라서, 기판에 걸친 온도 균일성을 개선하기 위한 개선된 장치가 본원에 개시되었다. 본 발명의 장치는 유리하게, 피쳐의 측벽들 상으로 증착된 재료가 피쳐의 바닥부로 이동하는 것을 가능하게 하는 리플로우 단계를 용이하게 하여 이에 의해, 구조의 종횡비를 감소시킬 수 있다.Accordingly, an improved apparatus for improving temperature uniformity across a substrate has been disclosed herein. The device of the present invention advantageously facilitates a reflow step that allows material deposited on the sidewalls of the feature to move to the bottom of the feature, thereby reducing the aspect ratio of the structure.

[0042] 전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본 범위에서 벗어나지 않고 안출될 수 있다.[0042] The foregoing is related to the embodiments of the present invention, but other and additional embodiments of the present invention can be devised without departing from the basic scope of the present invention.

Claims (15)

기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링으로서,
제 1 표면, 반대편의 제 2 표면, 및 상기 제 1 및 제 2 표면들을 통과하는 중앙 개구를 갖는 환형 본체 - 상기 제 2 표면은, 주어진 폭을 갖는 기판을 지지하는 지지 표면을 갖는 기판 지지부 위에 배치되도록 구성되며, 상기 중앙 개구는 상기 지지 표면의 부분을 노출시키도록 크기가 정해짐 - 를 포함하고; 그리고
상기 제 1 표면은,
상기 환형 본체의 중앙 축을 향해 열 에너지를 반사하도록 구성된 적어도 하나의 반사성 부분으로서, 상기 적어도 하나의 반사성 부분은 상기 제 1 표면의 전체 표면적의 5 내지 50 퍼센트인 표면적을 갖는 것인, 적어도 하나의 반사성 부분;
상기 적어도 하나의 반사성 부분의 방사상 내측으로 배치된 경사진 표면으로서, 상기 경사진 표면은 환형인 것인, 경사진 표면; 및
상기 증착 링의 외측 둘레 근처에 배치된 편평한 부분으로서, 상기 편평한 부분은 상기 반사성 부분의 방사상 최외측 부분의 아래에 배치된 상부면을 가지는 것인, 편평한 부분;을 포함하는 것인,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
A deposition ring for use in a substrate processing system to process a substrate,
An annular body having a first surface, an opposite second surface, and a central opening passing through the first and second surfaces, the second surface being disposed over a substrate support having a support surface supporting a substrate having a given width Configured such that the central opening is sized to expose a portion of the support surface; And
The first surface,
At least one reflective portion configured to reflect thermal energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is 5 to 50 percent of the total surface area of the first surface. part;
An inclined surface disposed radially inward of the at least one reflective portion, wherein the inclined surface is annular; And
A flat portion disposed near the outer periphery of the deposition ring, the flat portion having a top surface disposed below the radially outermost portion of the reflective portion, the flat portion comprising;
Deposition rings for use in substrate processing systems to process substrates.
제 1 항에 있어서,
상기 적어도 하나의 반사성 부분은 반사성 재료로 코팅되는,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
According to claim 1,
The at least one reflective portion is coated with a reflective material,
Deposition rings for use in substrate processing systems to process substrates.
제 1 항에 있어서,
상기 환형 본체는,
상기 제 1 표면에 배치되고, 그리고 기판 프로세싱 동안에 증착 재료의 축적(buildup)을 수용하도록 구성되는 그루브를 더 포함하는,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
According to claim 1,
The annular body,
Further comprising a groove disposed on the first surface and configured to accommodate buildup of deposition material during substrate processing,
Deposition rings for use in substrate processing systems to process substrates.
제 1 항에 있어서,
상기 경사진 표면은 상기 증착 링의 내측 둘레 근처에 배치되고, 상기 경사진 표면은 기판이 존재할 때 상기 중앙 개구 위에 기판을 포지셔닝하도록 구성되는 것인,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
According to claim 1,
The inclined surface is disposed near the inner perimeter of the deposition ring, the inclined surface being configured to position the substrate over the central opening when the substrate is present,
Deposition rings for use in substrate processing systems to process substrates.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 제 1 표면은 80 내지 100 마이크로 인치 RMS의 거칠기를 갖는,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
The method according to any one of claims 1 to 4,
The first surface has a roughness of 80 to 100 micro inches RMS,
Deposition rings for use in substrate processing systems to process substrates.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 증착 링은 12 내지 15 인치의 직경을 갖는,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
The method according to any one of claims 1 to 4,
The deposition ring has a diameter of 12 to 15 inches,
Deposition rings for use in substrate processing systems to process substrates.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 적어도 하나의 반사성 부분의 경사도는 0 내지 30 도인,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
The method according to any one of claims 1 to 4,
The inclination of the at least one reflective portion is 0 to 30 degrees,
Deposition rings for use in substrate processing systems to process substrates.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 환형 본체는 복수의 반사성 부분들을 포함하고, 상기 복수의 반사성 부분들의 각각은 기판이 존재할 때 기판을 향해 열 에너지를 반사하도록 각을 이루는,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
The method according to any one of claims 1 to 4,
The annular body includes a plurality of reflective portions, each of the plurality of reflective portions being angled to reflect thermal energy towards the substrate when the substrate is present,
Deposition rings for use in substrate processing systems to process substrates.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 환형 본체는 상기 제 2 표면을 상기 환형 본체의 내측 직경에 연결하는 제 1 계단(step)을 더 포함하는,
기판을 프로세싱 하기 위해서 기판 프로세싱 시스템에서 사용하기 위한 증착 링.
The method according to any one of claims 1 to 4,
The annular body further comprises a first step connecting the second surface to the inner diameter of the annular body,
Deposition rings for use in substrate processing systems to process substrates.
기판 프로세싱 챔버로서,
주어진 폭을 갖는 기판을 지지하기 위한 지지 표면을 갖는 기판 지지부;
상기 기판 프로세싱 챔버의 주변 영역에 포지셔닝된 복사 에너지 소스;
상기 복사 에너지 소스 주위에 배치된 반사기; 및
상기 기판 지지부의 지지 표면 주변에 배치된 증착 링 - 상기 증착 링은 제 1 항 내지 제 4 항 중 어느 한 항에서 기재된 것임 - 을 포함하는,
기판 프로세싱 챔버.
A substrate processing chamber,
A substrate support having a support surface for supporting a substrate having a given width;
A radiant energy source positioned in a peripheral area of the substrate processing chamber;
A reflector disposed around the radiant energy source; And
A deposition ring disposed around the support surface of the substrate support, wherein the deposition ring is as described in any one of claims 1 to 4,
Substrate processing chamber.
제 10 항에 있어서,
상기 증착 링의 제 1 표면은 상기 반사기의 연장부인,
기판 프로세싱 챔버.
The method of claim 10,
The first surface of the deposition ring is an extension of the reflector,
Substrate processing chamber.
삭제delete 삭제delete 삭제delete 삭제delete
KR1020157007509A 2012-08-30 2013-08-27 Reflective deposition rings and substrate processing chambers incorporationg same KR102117234B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/598,828 US9905443B2 (en) 2011-03-11 2012-08-30 Reflective deposition rings and substrate processing chambers incorporating same
US13/598,828 2012-08-30
PCT/US2013/056784 WO2014035957A1 (en) 2012-08-30 2013-08-27 Reflective deposition rings and substrate processing chambers incorporating same

Publications (2)

Publication Number Publication Date
KR20150048189A KR20150048189A (en) 2015-05-06
KR102117234B1 true KR102117234B1 (en) 2020-06-01

Family

ID=50184232

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157007509A KR102117234B1 (en) 2012-08-30 2013-08-27 Reflective deposition rings and substrate processing chambers incorporationg same

Country Status (4)

Country Link
KR (1) KR102117234B1 (en)
CN (1) CN104584192B (en)
TW (1) TWI600108B (en)
WO (1) WO2014035957A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102256366B1 (en) * 2015-05-29 2021-05-27 어플라이드 머티어리얼스, 인코포레이티드 Process chamber with reflector
USD888903S1 (en) 2018-12-17 2020-06-30 Applied Materials, Inc. Deposition ring for physical vapor deposition chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000323487A (en) * 1999-05-14 2000-11-24 Tokyo Electron Ltd Sheet-by-sheet type heat treatment device
US20030087522A1 (en) 2001-11-08 2003-05-08 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JP2002134429A (en) * 2000-10-12 2002-05-10 Applied Materials Inc Bearing cover for substrate treating apparatus, substrate treating apparatus and heat treating method
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
KR20070041959A (en) * 2005-10-17 2007-04-20 삼성전자주식회사 Plasma treatment equipment
US20070241454A1 (en) * 2006-04-13 2007-10-18 Jun-Ming Chen Capture ring
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
JP5916384B2 (en) * 2008-04-16 2016-05-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Wafer processing deposit shielding component

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000323487A (en) * 1999-05-14 2000-11-24 Tokyo Electron Ltd Sheet-by-sheet type heat treatment device
US20030087522A1 (en) 2001-11-08 2003-05-08 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects

Also Published As

Publication number Publication date
CN104584192A (en) 2015-04-29
KR20150048189A (en) 2015-05-06
CN104584192B (en) 2018-03-30
WO2014035957A1 (en) 2014-03-06
TW201413868A (en) 2014-04-01
TWI600108B (en) 2017-09-21

Similar Documents

Publication Publication Date Title
US9905443B2 (en) Reflective deposition rings and substrate processing chambers incorporating same
KR101921199B1 (en) Off­angled heating of the underside of a substrate using a lamp assembly
KR102108224B1 (en) Optics for controlling light transmitted through a conical quartz dome
TWI568875B (en) Edge ring for a deposition chamber
KR20170030581A (en) Design of susceptor in chemical vapor deposition reactor
US10306708B2 (en) Absorbing reflector for semiconductor processing chamber
US10903067B2 (en) Cooled reflective adapter plate for a deposition chamber
KR102117234B1 (en) Reflective deposition rings and substrate processing chambers incorporationg same
KR20100028990A (en) Substrate processing apparatus
US20150163860A1 (en) Apparatus and method for uniform irradiation using secondary irradiant energy from a single light source
JP7452992B2 (en) Plasma processing equipment and operating method of plasma processing equipment
JP2009231608A (en) Heat treatment equipment

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant