CN104584192B - Reflect deposition ring and the substrate processing chamber including reflecting deposition ring - Google Patents

Reflect deposition ring and the substrate processing chamber including reflecting deposition ring Download PDF

Info

Publication number
CN104584192B
CN104584192B CN201380044008.1A CN201380044008A CN104584192B CN 104584192 B CN104584192 B CN 104584192B CN 201380044008 A CN201380044008 A CN 201380044008A CN 104584192 B CN104584192 B CN 104584192B
Authority
CN
China
Prior art keywords
substrate
deposition ring
support
reflecting part
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380044008.1A
Other languages
Chinese (zh)
Other versions
CN104584192A (en
Inventor
阿纳塔·K·苏比玛尼
约瑟夫·M·拉内什
袁晓雄
阿希什·戈埃尔
李靖珠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/598,828 external-priority patent/US9905443B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104584192A publication Critical patent/CN104584192A/en
Application granted granted Critical
Publication of CN104584192B publication Critical patent/CN104584192B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate

Abstract

Provided herein is the equipment for improveing the temperature homogeneity for crossing substrate.In some embodiments, a kind of deposition ring, for using substrate is handled in base plate processing system, the deposition ring to may include:Annular body, the annular body has first surface, opposite second surface and central opening, the central opening passes through the first surface and second surface, wherein the second surface is arranged to be arranged on substrate support, the substrate support is with support surface to support the substrate with given width, and wherein the size of the opening is by design, with the major part of the exposure support surface;And wherein the first surface includes at least one reflecting part, at least one reflecting part is configured to the central shaft towards the annular body by heat energy back, and wherein at least one reflecting part has 50 about 5 the percent to about percent of the total surface area that surface area is the first surface.

Description

Reflect deposition ring and the substrate processing chamber including reflecting deposition ring
Field
Embodiments of the present invention relate generally to semiconductor processing equipment and technology.
Background
Semiconductor substrate would generally be heat-treated after material process, and material process is, for example, deposition materials in substrate On, the substrate is formed in its surface including feature.The temperature homogeneity for crossing semiconductor substrate is suitable during heating treatment It is crucial, so that effectively backflow (reflow) material is deposited on substrate during the depositional phase, and on substrate with institute State the more consistent distribution that material is provided in feature.Some backflow chambers are guided radiation towards semiconductor-based using reflecting surface The dorsal part of plate.But the limitation of the space of flashback chamber substantially limit the area of reflecting surface, negatively have impact on and partly leads The temperature homogeneity of structure base board.
Therefore, inventor has been provided for the equipment for handling substrate, and the equipment is at least some of embodiment, improvement Cross the temperature homogeneity of substrate.
General introduction
Provided herein is the equipment for improveing the temperature homogeneity for crossing substrate.In some embodiments, a kind of deposition Ring, for using substrate is handled in base plate processing system, the deposition ring to may include:Annular body, annular body tool Have first surface, opposite second surface and central opening, the central opening by the first surface and second surface, wherein The second surface is arranged to be arranged on substrate support, and the substrate support is with support surface to support with given width Substrate, and wherein the opening size by design, with exposure the support surface major part;And wherein first table Face includes at least one reflecting part, and at least one reflecting part is configured to heat energy back towards the center of the annular body Axle, wherein at least one reflecting part have about 5 the percent to about hundred of the total surface area that surface area is the first surface / five ten.
In some embodiments, a kind of deposition ring, it is described heavy for using handling substrate in base plate processing system Product ring may include:Annular body, the annular body have first surface, opposite second surface and central opening, the central opening By the first surface and second surface, the wherein second surface is arranged to be arranged on substrate support, the substrate branch Seat tool has support surface to support the substrate with given width, and wherein the size of the opening is by design, with the exposure branch Support the major part on surface;And wherein the first surface includes at least one reflecting part, at least one reflecting part is configuration It is the first surface that central shaft by heat energy back towards the annular body, wherein at least one reflecting part, which have surface area, Total surface area at least 5 percent.
In some embodiments, a kind of substrate processing chamber may include:Substrate support, the substrate support have support surface To support the substrate with given width;Radiant energy source, the radiant energy source are located at the neighboring area of the substrate processing chamber; Reflector, the reflector are arranged at around the radiant energy source;And deposition ring.The deposition ring may include:Annular body, the ring Shape main body has first surface, opposite second surface and central opening, and the central opening passes through the first surface and the second table Face, the wherein second surface are arranged to be arranged on the substrate support, and wherein the size of the opening is by design, with sudden and violent Reveal the major part of the support surface;With at least one reflecting part, at least one reflecting part is arranged on the first surface, and At least one reflecting part is configured to the central shaft towards the annular body by heat energy back, wherein at least one reflecting part It is 50 about 5 the percent to about percent of the total surface area of the first surface.
Other embodiment is discussed in more detail under with change.
Brief Description Of Drawings
By referring to the illustrated embodiment of the invention illustrated in appended accompanying drawing, it may be appreciated that be discussed in more detail below and Brief summary is in upper embodiments of the present invention.It is noted, however, that appended accompanying drawing only illustrates the general embodiment of the present invention And be therefore not intended as limiting its scope, because the present invention may be allowed other equally effective embodiments.
Fig. 1 is the schematic cross-sectional view of chamber according to some embodiments of the present invention.
Fig. 2 depicts the schematic views of deposition ring according to some embodiments of the present invention.
Fig. 2A depicts the cross-sectional side view of deposition ring according to some embodiments of the present invention.
Fig. 3 A- Fig. 3 C depict the cross-sectional side view of deposition ring according to some embodiments of the present invention respectively.
Fig. 4 is the top view of Exemplary deposition ring according to some embodiments of the present invention.
In order to promote to understand, make to be denoted by the same reference numerals in any possible place in accompanying drawing common identical Element.Accompanying drawing is drawn not according to size, and can be in order to clearly be simplified.It is to be understood that the element of an embodiment with Feature can be advantageously incorporated into other embodiments, and without being described in detail in addition.
Specifically describe
Embodiments of the present invention provide the Improvement type equipment for handling substrate., should at least some of embodiment Equipment can provide the Improvement type temperature homogeneity for crossing substrate.For example, embodiment consistent with the present invention is available for difunctional In chamber, in difunctional chamber, the normal sedimentation of the material on substrate is the heating of the same substrate afterwards.Generally, in material After material is deposited on the first surface of substrate, the first surface of substrate is high reflector, and therefore, uses high-intensity light source It is irradiated on the reflectivity first surface of substrate to heat substrate can be inefficent.But the second surface of substrate is (relative In first surface, such as basal surface) it can more absorb luminous energy and preferable thermal coupling can be provided.In addition, because space limits, heat Source must be positioned so that the movement of pedestal will not be obstructed.Therefore, thermal source can be located at outside the periphery of substrate support pedestal. In embodiment consistent with the present invention, there is provided reflective surface and the combination of protective shield thing, reflective surface is with protecting The combination of shield property screen reflects heat energy towards substrate from the thermal source on periphery.
Fig. 1 depicts the schematic cross-sectional view of chamber 100 according to some embodiments of the present invention.Chamber 100 is Configuration is come for material to be deposited on the first side of substrate and is radiated at the second side of substrate, the second side of substrate is relative In the first side of substrate.Such a chamber 100 is difunctional chamber, and material process can be performed on substrate with being heat-treated both, And without removing substrate from chamber.In the example of metal deposition process, heat treatment can be reflow treatment, for example, to reduce The protrusion of metal in the recess of substrate.
Chamber 100 has wall portion 104 and cap 102, and wall portion 104 surrounds the inner space 138 of chamber 100 with cap 102. Inner space 138 is divided into upper space 136 and lower space 134 by substrate support 106.Pass through the entrance 108 being formed in cap 102 And processing gas is allowed to enter the upper space 136 of chamber, and the base being arranged in the substrate 116 of substrate support 106 Plate 168 is exposed to processing gas at the processing position 160 of chamber 100.
In operation, substrate support 106 is vertically movable in chamber 100, is stretched and is contracted in the different phase of processing It is back to various positions.For example, substrate support 106 can vertically be actuated and receive the substrate for being arranged at substrate support 106 Substrate 168 on surface 116 is displaced between the processing position 160 of chamber and transfer position 124.Transfer position 124 defines Handling substrates equipment (not shown) can manipulate the position of the substrate 168 of substrate 168 by entrance 122.
Multiple lifter pins 114 are set by the substrate 116 of substrate support 106.Multiple lifter pins 114 can be by Actuator 162 stretches, and is independently moved by the motor (not shown) for being coupled to actuator 162 with substrate support 106.For example, In some embodiments, multiple lifter pins 114 can be actuated and lift substrate 168 and to maintain processing position 160 attached Closely, while substrate support 106 is retracted under radiant source plane 126.In some embodiments, by activating the lifting Pin, substrate 168 can be positioned at the heat treatment position 128 different from processing position 160, and the processing position 160 is probably material Processing position.
Substrate 116 may include electrostatic chuck, and electrostatic chuck generally includes the substrate that conductor 158 is arranged at insulation In receiving surface 116.Conductor 158 can be sheet material, wire grid or coiling passes through substrate 116 round list One path conductor.Electric power is generally coupled to conductor 158 by setting by the conduit 156 of the axle 132 in substrate support.Work as base When plate receiving surface 116 is engaged in substrate 168, substrate 168 can be fixed on substrate support 106 by electrostatic chuck by function On.At this moment, cooling gas can also be established by conduit 130.
Substrate 168 is moved towards processing position 128 and 160 by substrate support 106 (wherein substrate positions thereon).Work as base When board support 106 is risen towards processing position 160, substrate support 106 (wherein deposition ring 118 is positioned on teat 150) passes through Radiation source assembly 112.When substrate 116 reaches processing position 160, substrate 168 can be by material process, such as sinks Product, injection or etching.As following, deposition ring 118 is configured to be engaged in bezel ring, 166, and bezel ring, 166 can be metal or ceramics, And bezel ring, 166 is outwardly directed to cap 102 from deposition ring 118 and extended.Under being entered by control from upper space 136 by bezel ring, 166 The air-flow in space 134, the bezel ring, 166 of engagement improve the function of deposition ring 118.When substrate support 106 is moved towards processing position When 160 and 128, deposition ring 118 is engaged in bezel ring, 166.When substrate support 106 is moved towards processing position from processing position 160 When 128, bezel ring, 166 moves together with deposition ring 118 and substrate support 106.
Radiation source assembly 112 is arranged at the periphery 142 of chamber 100, and radiation source assembly 112 defines radiant source plane 126, radiant source plane 126 is between processing position 160 and transfer position 124.Radiation source assembly 112 generally surrounds substrate branch Seat 106.Radiation source assembly 112 includes housing 188, radiant energy source 182, from the projection of housing 188 and supports radiant energy source 182 at least one bearing 184 and the reflecting surface 186 of housing 188.Housing 188 is typically to be made from a material that be thermally conductive, all Such as metal, such as stainless steel.Bearing 184 can be Heat Conduction Material, such as metal, such as stainless steel, or refractive material, such as make pottery Porcelain.Radiant energy source 182 can be lamp, and the lamp produces radiation of the wavelength from infrared ray to purple light, or radiant energy source 182 can be Microwave, millimeter wave, megahertz ripple, submillimeter wave or far-infrared source.The producible radiation of radiant energy source 182 is with wavelength From about 5x10-2m to about 1x10-7m.Example radiant energy source includes heating lamp, Halogen lamp LED, arc lamp and coaxial microwave Or Millimeter-Wave Source.
The reflecting surface 186 of housing 188 is located at by moulding with the radiation reflective direction of autoradiolysis energy source in future 182 The dorsal part 172 (for example, as reflector) of substrate 168 at processing position 128 or 160.In some embodiments, housing 188 reflecting surface 186 is by moulding, to allow the substantial uniform irradiation of substrate.The reflecting surface 186 of housing 188 can have There is any be intended to shape, such as cylinder, toroid, ellipse, avette or irregular curved shape.Except being bending Outside or substitution bending, the reflecting surface 186 of housing 188 can be small plane.In some embodiments, housing 188 Reflecting surface 186 can be multiple cylinders bound fraction, the cylinder has identical or different radius of curvature, each Cylinder be alternatively end it is tapered or part be small plane.In some embodiments, the reflecting surface of housing 188 186 be half toroid.In some embodiments, the reflecting surface 186 of housing 188 includes multiple reflecting elements, each reflection Part can be independently plane substantially planar, that bending, end is tapered or small, and the reflecting element is to be positioned proximate to It is curved surface.Bearing 184 is usually discrete, such as supporting pin, bar or projection so that from radiant energy source 182 Be radiated the substantial whole reflecting surface 186 up to housing 188 and reflect the dorsal part 172 towards substrate 168.
Deposition ring 118 is arranged at around the edge 148 of substrate 116.Deposition ring 118 can be that metal or metal apply The ceramics covered, such as stainless steel, aluminum oxide or fellow.Generally, deposition ring 118 is the material institute shape by being resistant to high-temperature process Into.In addition, as following, the first surface 176 of deposition ring 118 is reflexive.
Deposition ring 118 substantially covers the outside extension (outer extent) 146 of substrate support 106, to prevent Deposition on outside extension 146.Deposition ring includes annular body, and annular body has first surface 176 and relative second table Face 178.Second surface 178 is for example positioned on the teat 150 formed in the outside extension 146 of substrate 116. In some embodiments, the diameter that deposition ring has is about 12 inches to about 15 inches.Deposition ring also includes opening 180, opening 180 is set by the center of deposition ring 118.Size by the central opening 180 in deposition ring 118 is set By design, to expose the major part of substrate 116.In some embodiments, it is arranged at substrate Substrate 168 on 116 is contacted with deposition ring 118.In alternative embodiment, substrate 168 can be less than with outer radius The inner radial of deposition ring 118 so that substrate 168 is not contacted with deposition ring 118.
After processing at processing position 160 is completed, substrate support 106 can be positioned, to carry out the back of the body of substrate 168 Side is heat-treated.To the electric power of conductor 158 (it is to interrupt to receive to substrate or in the embodiment of vacuum chuck by interrupting The vacuum on surface), any grip force of releasable substrate 168, then substrate support 106 is retracted, and lifter pin 114 is activated to stretching During exhibition position is put.This makes substrate 168 depart from from substrate 116, and when substrate support 106 is retracted to radiant source plane During heat treatment position under 126, substrate 168 is set to maintain at processing position 160.Thus substrate backside is exposed to carrys out autoradiolysis The radiation of source arrangement 112.If desired, by activating the lifter pin, substrate 168 can be moved to different from processing The heat treatment position 128 of position 160.In such a embodiment, processing position 160 can be material handler portion.According to specific The energy exposure demand of embodiment, as required, heat treatment position can be located on or below material handler portion.Substrate 168 are shown in heat treatment position in Fig. 1.
During heating treatment, radiation source assembly 112 is powered startup, and energy is launched towards base from radiation source assembly 112 The dorsal part of plate 168.The dorsal part 172 of substrate 168 is the substrate surface relative with there is the surface 170 of execution material process above.Remove There is provided outside integrated material and thermal chamber, by irradiating the less reflecting surface of substrate 168, irradiated with this mode The dorsal part 172 of substrate 168 can improve the energy efficiency of heat treatment.In some embodiments, material performed on substrate 168 Material processing can form reflecting layer or part layer on surface 170, and reflecting layer or part layer can reduce energy absorption.Irradiate dorsal part 172 can avoid increased reflectivity.In addition, the reflectivity on surface 170 can reflect the spoke from radiation source assembly 112 Penetrate, the radiation from radiation source assembly 112 is advanced through substrate 168 and passes back through substrate 168, further to improve efficiency.
As above-mentioned, deposition ring 118 includes first surface 176, and first surface 176 is configured to increase from radiant energy source The amount of radiation of substrate of the 182 reflection directions at processing position 160 is (for example, at least some of first surface is configuration The central shaft 174 radially-inwardly reflected towards process chamber will be radiated).In some embodiments, deposition ring 118 is configurable makees For the extension of the reflecting surface 186 of radiation source assembly 112.
In some embodiments, first surface 176 has texture, to promote the material deposited on first surface 176 Adhesion, thus reduce appointing for institute's deposition materials for being increased during processing substrate on the first surface 176 of deposition ring 118 What is peeled off.In some embodiments, it is about 80 to about 100 microinch RMS that first surface 176, which has roughness,.
Fig. 2 illustrates the cross-sectional side view of Exemplary deposition ring 118 according to some embodiments of the present invention.Fig. 2A is painted The detailed cross-sectional side view of Fig. 2 deposition ring 118 is shown.Fig. 3 A- Fig. 3 C depict the various of the first surface 176 of deposition ring Non-limiting example embodiment.
In some embodiments, as depicted in Fig. 2-Fig. 2A and Fig. 3 A- Fig. 3 C, the first surface of deposition ring 118 176 include at least one reflecting part 204, and at least one reflecting part 204 is configured to light energy reflected towards the center of deposition ring Axle (for example, deposition ring is also reflector).For example, as depicted in Fig. 2A and Fig. 3 A- Fig. 3 B figures, the first of deposition ring 118 Surface 176 may include a reflecting part 204.The first surface 176 of deposition ring 118 may also comprise more than one reflecting part 204, such as Depicted in Fig. 3 C.Although the whole first surface 176 of deposition ring 118 can be reflexive, when being relevant to deposition herein Ring is in use, term " reflecting surface " or " reflecting part on surface " are to describe configuration by light energy reflected towards deposition ring The surface of central shaft.
In some embodiments, reflecting part 204 includes the major part of first surface 176.In some embodiments, Reflecting part 204 is 50 about 5 the percent to about percent of first surface 176.Reflecting part 204 is configured to heat energy Reflect the central shaft 174 towards annular body.In some embodiments, reflecting part relative to the central shaft of annular body folder Angle is about 0 degree to about 30 degree of angle, or is up to about 30 degree.Including at least one reflecting part 204 and configure The first surface 176 of heat energy back towards the central shaft 174 of annular body is advantageously increased into dorsal part of the guiding towards substrate The temperature unevenness of 172 amount of radiation, thus improvement (for example, reduction) substrate.In addition, inventor is it has been discovered that at least One reflecting part 204 can be incorporated into deposition ring (for example, being with surface area advantageously along a part for first surface 176 About 5 the percent of the total surface area of first surface 176 to about 5 percent ten), advantageously to improve (for example, reduce) The temperature unevenness of substrate, while maintain the function of deposition ring.The increase guided towards the amount of radiation of substrate backside 172 is favourable Ground performs in the space limitation of backflow chamber.
In some embodiments, before deposition ring 118 is put into chamber, the reflecting part 204 of deposition ring 118 coats There is reflecting material.In some embodiments, deposition ring 118 is the coating reflecting material in chamber 100.Reflecting part 204 can apply It is covered with reflecting material, such as copper, gold, aluminium or fellow.
The reflecting part 204 of deposition ring 118 bend and/or into the mode of facet be to be compatible to the reflecting surface of housing 188 186 bending and/or into facet so that the reflecting surface 186 of housing 188 is formed together with the reflecting part 204 of deposition ring 118 Combined type reflector, the combined type reflector are configured to the radiation of autoradiolysis energy source in future 182 as much as possible, as far as possible The substrate backside being equably directed on radiant energy source 182.
In some embodiments, first surface 176 includes inclined surface 210.In Fig. 2A and Fig. 3 A- Fig. 3 B, reflection Portion 204 is arranged near inclined surface 210.Inclined surface is advantageously acted on to promote to accommodate institute's deposition materials, and/or works as base When plate 168 is reduced in the central opening of deposition ring 118, inclined surface advantageously acts on to be entered suitably to guide substrate 168 In position.In some embodiments, inclined surface 210 can also be reflecting part (for example, second reflecting part), similar to reflection Portion 204.
In some embodiments, as depicted in Fig. 2A and Fig. 3 A- Fig. 3 B, first surface 176 includes flat part 206, flat part 206 is arranged near the outer periphery of deposition ring 118, to be engaged in bezel ring, 166, bezel ring, 166 can be metal or Ceramics, and bezel ring, 166 is outwardly directed to cap 102 from deposition ring 118 and extended.By controlling from upper space 136 to enter by bezel ring, 166 Enter the air-flow in lower space 134, bezel ring, 166 can improve the function of deposition ring 118 with flat part 206.When the direction of substrate support 106 When processing position 160 and 128 moves, deposition ring 118 is engaged in bezel ring, 166.When substrate support 106 is from the direction of processing position 160 When processing position 128 moves, bezel ring, 166 moves together with deposition ring 118 and substrate support 106.
In some embodiments, as depicted in Fig. 2A and Fig. 3 A- Fig. 3 B, first surface 176 includes groove 208. In some embodiments, groove 208 may be disposed at the radially-inwardly place of flat part 206.During processing substrate, groove 208 Advantageously provide the storagetank of the growth for institute's deposition materials.In some embodiments, inclined surface 210 may be disposed at Near groove 208 or side.For example, in some embodiments, inclined surface 210 can form a wall of groove 208.
Fig. 4 is the top view of Exemplary deposition ring 118 according to some embodiments of the present invention.Deposition ring 118 includes outer Portion's diameter 402, inside diameter 404 and central opening 406.In some embodiments, deposition ring 118 may include one or more Protuberance 408, protuberance 408, which can aid in, positions deposition ring 118.
Referring back to Fig. 1, after heat treatment is completed, by the lifter pin 114 of retracting, substrate is generally engaged again into Substrate 116.Grip force can be applied again, and establish cooling gas again to cool down substrate.If desired, substrate Bearing 106 can be moved to appropriate location to be further processed afterwards, or return to transfer position to carry out taking for substrate Return.When substrate support 106 is located at transfer position, taking for substrate is provided by stretching the lifter pin 114, so machine Tool blade can be inserted between substrate and substrate 116.
For material (that is, deposit or inject) identical opening position need not be positioned at heat treatment, substrate.Foregoing In, it is proposed that processing position 160 is identical during material and heat treatment, have to be such but do not need.For example, heat treatment position Put and may differ from material handler portion.Substrate can be raised and lowered from material handler portion to heat treatment position.It is heat-treated position The position for being relevant to material handler portion generally depends on the design of radiation source and the demand of material process.
Therefore, the improved equipment for improveing the temperature homogeneity for crossing substrate is disclosed herein.Present device can Advantageously facilitate refluxing stage, so that the material being deposited in the side wall of feature can be moved to the bottom of feature, thus reduce The depth-to-width ratio of structure.
Be related to embodiments of the present invention although foregoing, of the invention other can be conceived to out with further embodiment and Without its base region of deviation.

Claims (14)

1. a kind of deposition ring, for use in base plate processing system, to handle substrate, the deposition ring includes:
Annular body, the annular body have first surface, opposite second surface and central opening, and the central opening leads to The first surface and second surface are crossed, wherein the second surface is arranged to be arranged on substrate support, the substrate Bearing is with support surface to support the substrate with given width, and the size of wherein described opening is by design, with exposure The major part of the support surface;
Wherein described first surface includes:
At least one reflecting part, at least one reflecting part are configured to heat energy back towards the center of the annular body Axle, wherein at least one reflecting part has at least about the percent of the total surface area that surface area is the first surface Five;
Inclined surface, the inclined surface are arranged at the radially-inwardly place of at least one reflecting part;With
Flat part, the flat part are arranged near the outer periphery of the deposition ring, and the flat part is described with being arranged at The radially upper surface under portion of reflecting part.
2. deposition ring as claimed in claim 1, wherein it is the first surface that at least one reflecting part, which has surface area, Total surface area 50 at least about 5 percent to about percent.
3. deposition ring as claimed in claim 1, wherein at least one reflecting part is coated with reflecting material.
4. deposition ring as claimed in claim 1, wherein the annular body further comprises:
Groove, the groove are arranged in the first surface, and the groove is configured to receive institute during processing substrate The growth of deposition materials.
5. deposition ring as claimed in claim 1, wherein the inclined surface is arranged near the interior periphery of the deposition ring, Wherein described inclined surface is configured in the presence of the substrate, and the substrate is positioned on the central opening.
6. the deposition ring as described in any one of claim 1-5, wherein it is about 80 micro- that the first surface, which has roughness, Inch is to about 100 microinch RMS.
7. the deposition ring as described in any one of claim 1-5, wherein the deposition ring have diameter be about 12 inches extremely About 15 inches.
8. the deposition ring as described in any one of claim 1-5, wherein the gradient of at least one reflecting part is about 0 Degree is to about 30 degree.
9. the deposition ring as described in any one of claim 1-5, wherein the annular body includes multiple reflecting parts, it is described more There is each reflecting part of individual reflecting part angle to come in the presence of the substrate, by heat energy back towards the substrate.
10. the deposition ring as described in any one of claim 1-5, wherein the annular body further comprises the first rank portion, The second surface is connected to the inside diameter of the annular body by the first rank portion.
11. the deposition ring as described in any one of claim 1-5, wherein at least one reflecting part is the first surface Major part.
12. the deposition ring as described in any one of claim 1-3, further comprises:
Groove, the groove are arranged in the first surface, and the groove is configured to receive institute during processing substrate The growth of deposition materials;And
Wherein described inclined surface is radially outward in the groove and near the groove, and the inclined surface is configuration Come in the presence of the substrate, the substrate is positioned on the central opening.
13. a kind of substrate processing chamber, including:
Substrate support, the substrate support is with support surface to support the substrate with given width;
Radiant energy source, the radiant energy source are located at the neighboring area of the substrate processing chamber;
Reflector, the reflector are arranged at around the radiant energy source;With
Deposition ring, the deposition ring set the support surface around the substrate support, and the deposition ring is such as claim 1-5 Any one described in deposition ring.
14. substrate processing chamber as claimed in claim 13, wherein the first surface of the deposition ring is the reflector Extension.
CN201380044008.1A 2012-08-30 2013-08-27 Reflect deposition ring and the substrate processing chamber including reflecting deposition ring Active CN104584192B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/598,828 US9905443B2 (en) 2011-03-11 2012-08-30 Reflective deposition rings and substrate processing chambers incorporating same
US13/598,828 2012-08-30
PCT/US2013/056784 WO2014035957A1 (en) 2012-08-30 2013-08-27 Reflective deposition rings and substrate processing chambers incorporating same

Publications (2)

Publication Number Publication Date
CN104584192A CN104584192A (en) 2015-04-29
CN104584192B true CN104584192B (en) 2018-03-30

Family

ID=50184232

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380044008.1A Active CN104584192B (en) 2012-08-30 2013-08-27 Reflect deposition ring and the substrate processing chamber including reflecting deposition ring

Country Status (4)

Country Link
KR (1) KR102117234B1 (en)
CN (1) CN104584192B (en)
TW (1) TWI600108B (en)
WO (1) WO2014035957A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102256366B1 (en) * 2015-05-29 2021-05-27 어플라이드 머티어리얼스, 인코포레이티드 Process chamber with reflector
US11961723B2 (en) 2018-12-17 2024-04-16 Applied Materials, Inc. Process kit having tall deposition ring for PVD chamber
USD888903S1 (en) 2018-12-17 2020-06-30 Applied Materials, Inc. Deposition ring for physical vapor deposition chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134429A (en) * 2000-10-12 2002-05-10 Applied Materials Inc Bearing cover for substrate treating apparatus, substrate treating apparatus and heat treating method
KR20070041959A (en) * 2005-10-17 2007-04-20 삼성전자주식회사 Plasma treatment equipment

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JP2000323487A (en) * 1999-05-14 2000-11-24 Tokyo Electron Ltd Sheet-by-sheet type heat treatment device
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US20070241454A1 (en) * 2006-04-13 2007-10-18 Jun-Ming Chen Capture ring
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR101939640B1 (en) * 2008-04-16 2019-01-17 어플라이드 머티어리얼스, 인코포레이티드 Wafer processing deposition shielding components

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134429A (en) * 2000-10-12 2002-05-10 Applied Materials Inc Bearing cover for substrate treating apparatus, substrate treating apparatus and heat treating method
KR20070041959A (en) * 2005-10-17 2007-04-20 삼성전자주식회사 Plasma treatment equipment

Also Published As

Publication number Publication date
CN104584192A (en) 2015-04-29
TW201413868A (en) 2014-04-01
WO2014035957A1 (en) 2014-03-06
KR102117234B1 (en) 2020-06-01
KR20150048189A (en) 2015-05-06
TWI600108B (en) 2017-09-21

Similar Documents

Publication Publication Date Title
CN103415910B (en) Use oblique heating on the downside of the substrate of lamp assembly
US9905443B2 (en) Reflective deposition rings and substrate processing chambers incorporating same
CN107534000B (en) Buffer chamber wafer heating mechanism and support robot
CN104584192B (en) Reflect deposition ring and the substrate processing chamber including reflecting deposition ring
JP5183477B2 (en) Improved rapid thermal processing apparatus and method
CN104641463B (en) The periphery of the edge ring of improvement
TWI568875B (en) Edge ring for a deposition chamber
CN108051906A (en) The optical system of the light of taper quartz dome structure is passed through for controlling transmission
CN104952684B (en) Substrate processing apparatus
TWI685897B (en) Rapid thermal processing chamber with linear control lamps
CN107731718A (en) Support cylinder for thermal processing chamber
KR20100028990A (en) Substrate processing apparatus
US9117858B2 (en) Heater block and heat treatment apparatus having the same
CN105765706A (en) Pyrometer background elimination
CN104246984A (en) Cooled reflective adapter plate for a deposition chamber
TW201535523A (en) Apparatus and method for uniform irradiation using secondary irradiant energy from a single light source
CN217955816U (en) Heating infrared lamp tube structure and wafer heating device for semiconductor industry

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant