WO2013041214A2 - Procédé de dépôt continu et/ou séquentiel d'une couche diélectrique issue de la phase gazeuse sur un substrat - Google Patents

Procédé de dépôt continu et/ou séquentiel d'une couche diélectrique issue de la phase gazeuse sur un substrat Download PDF

Info

Publication number
WO2013041214A2
WO2013041214A2 PCT/EP2012/003898 EP2012003898W WO2013041214A2 WO 2013041214 A2 WO2013041214 A2 WO 2013041214A2 EP 2012003898 W EP2012003898 W EP 2012003898W WO 2013041214 A2 WO2013041214 A2 WO 2013041214A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
plasma
microwave
microwave plasma
gas
Prior art date
Application number
PCT/EP2012/003898
Other languages
German (de)
English (en)
Other versions
WO2013041214A3 (fr
Inventor
Alexander Gschwandtner
Original Assignee
Hq-Dielectrics Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hq-Dielectrics Gmbh filed Critical Hq-Dielectrics Gmbh
Publication of WO2013041214A2 publication Critical patent/WO2013041214A2/fr
Publication of WO2013041214A3 publication Critical patent/WO2013041214A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Definitions

  • the present invention relates to a method for continuously and / or sequentially depositing a gas phase dielectric layer on a substrate.
  • CVD chemical vapor deposition
  • vapor deposition can be assisted by a low frequency plasma, commonly referred to as "Plasma Enhanced Chemical Vapor Deposition (PECVD)".
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • an ion bombardment of the substrate surface by the electric field of the electromagnetic wave produces the desired effect.
  • the frequencies used are in the range of tens to Kilohert to tens of megahertz and thereby allow an acceleration of the ions on the substrate surface.
  • the chemical reaction which leads to the decomposition of the chemical compounds and deposition of the layers, takes place exclusively on the surface of the substrate in the thermally activated CVD as well as in the plasma-activated PECVD process.
  • ECR and ICR plasmas work at very low pressures in the millitorr or even in the submillitorr range in order to provide a sufficient free path which allows an acceleration of the electrons / ions in the magnetic field.
  • the invention is therefore based on the object to provide a method for the continuous and / or sequential deposition of a dielectric layer from the gas phase on a substrate, which allows a homogeneous layer formation. According to the invention, this object is achieved by a method according to claim 1. Further embodiments of the invention will become apparent from the dependent claims.
  • a microwave plasma is generated with at least one microwave electrode.
  • the substrate is placed in an area outside the microwave plasma in which an electron concentration generated by the microwave plasma is between 2 and 9 ⁇ 10 10 / cm 3 , and a precursor gas forming the dielectric layer is directed onto the microwave plasma-facing surface of the substrate.
  • a precursor gas is a chemical compound from which the layer is produced. The process is particularly interesting and important for semiconductor technology because it allows the deposition of CVD and ALD dielectric layers at low temperatures, with the interface to silicon being very good Features.
  • a precursor orgas is a chemical compound from the constituents of which the layer is generated.
  • microwave electromagnetic radiation forms a microwave plasma at a pressure of about 1 Torr, which is common in chemical vapor deposition. Because of the high frequency of the microwave radiation, such a large electron density is generated in the microwave plasma that a propagation of the wave beyond a small limit range is inhibited but varies with the density of the gas.
  • the limit of the microwave plasma is here defined as an electron density of 10 ⁇ 10 10 / cm 3 , measured by a Langmuir probe. Regions that have a higher electron density are thus considered to lie in the plasma and regions that have a lower electron density than lying outside of the plasma.
  • the substrate to be treated is placed outside the plasma zone of the microwave plasma to prevent possible damage by the electromagnetic radiation, but still in the diffusion region of the electrons generated by the high frequency of the microwave radiation in high density in the microwave plasma.
  • the energy of the electrons in the region of the substrate is in the range of 1 eV and below and can not damage the dielectric.
  • the small and light electrons excited by the microwave radiation preferably diffuse to the substrate surface and occupy it with a significant negative charge density to produce a suitable electric field strength sufficient to drift ions in the surface layers of the substrate.
  • This reaction feature distinguishes the method according to the invention from the known plasma or radical methods which develop their action exclusively on the surface of the substrates.
  • the inventive method is thus characterized by the fact that the substrate to be coated is outside the range of the microwave plasma, but the distance to the plasma zone is not so large that the electrons and ions generated in the plasma are already recombined and are present only as radicals.
  • the substrate is located at a distance from the MW plasma during deposition such that a desired charge density of electrons is generated on the surface of the substrate, which then generates a corresponding electric field intensity in the underlying dielectric, in addition to the action of radicals in the region of In particular, an electric field-induced effect is achieved on the surface, which promotes desired properties of the layers to be deposited.
  • an anodic reaction between the process gas and the substrate, in particular the newly deposited layer on the substrate.
  • An anodic reaction (E-field driven) occurs when the reaction is primarily assisted by the electron concentration at the substrate surface and an E-field is caused by certain drift motion from reactive species to the reactant.
  • the layer deposits locally thinner due to the orientation of the underlying substrate, a larger local electric field forms, which in turn leads to a stronger layer growth.
  • the interface between the layer and the underlying substrate is atomically saturated.
  • the corresponding electron concentration on the surface produces a chemical reaction with a depth effect, in contrast to the radicals usually used without a corresponding electron concentration, which are effective only on the surface.
  • the substrate is placed in a region where an electron concentration generated by the microwave plasma is between 5 and 7 ⁇ 10 10 / cm 3, and more preferably about 6 ⁇ 10 10 / cm 3 .
  • a method is given for the continuous and / or sequential deposition of a microcrystalline or nanocrystalline diamond layer from the gas phase on a substrate.
  • a microwave plasma is generated with at least one microwave electrode, the substrate placed in a region within the plasma, so that the electron concentration at the surface of the substrate between 1 0 and 30 X 10 10 / cm 3 , in particular between 10 and 20 X 10 10 / cm 3 , and a carbonaceous precursor gas is directed to the microwave plasma facing surface of the substrate.
  • the electron concentration is so high that layers depositing on the substrate form a diamond structure.
  • the precursor gas contains about 1% carbonaceous compound in hydrogen.
  • the at least one microwave electrode is one having inner and outer conductors, which has a coupling-out structure in the outer conductor.
  • a microwave electrode is particularly suitable for generating a locally limited microwave plasma that allows a corresponding placement of the substrate.
  • a particularly homogeneous microwave plasma can be achieved by a microwaved microwave electrode having inner and outer conductors, in which the outer conductor forms a coupling-out opening widening to a free end of the electrode.
  • it is preferably surrounded by a cladding tube which is substantially permeable to microwave radiation.
  • the substrate is made of a dielectric material or has at least one dielectric layer on which the new dielectric layer is deposited, which promotes the above-mentioned effect.
  • the dielectric layer can be supported by a microwave plasma generated by the same microwave source, for example, in the presence of the new dielectric layer a semiconductor formed and / or treated.
  • the microwave plasma can cause saturation of the dielectric layer. Defects in the interfaces are avoided.
  • the microwave plasma may be generated from an oxygen and / or nitrogen-containing gas.
  • the dielectric layer can also be deposited on an electrically conductive layer, a semiconductor layer or another dielectric.
  • the precursor gas is directed through the microwave plasma to the surface of the substrate such that it reacts with the microwave plasma to form the reactive species required for the film formation.
  • the precursor orgas may also be directed past the microwave plasma onto the surface of the substrate such that it does not react with the microwave plasma. This can suppress unwanted plasma interference and the generation of undesired species. This may be achieved, for example, via at least one conduit extending through the microwave plasma, which separates a conduit path for the precursor orgas from the burning plasma gas.
  • the microwave plasma is pulsed to effect sequential deposition.
  • the precursor orgas can be conducted onto the surface of the substrate, in particular between periods in which the plasma burns.
  • the temperature of the substrate is preferably kept below 400 ° C., in particular below 200 ° C., during the deposition from the gas phase.
  • the process is preferably carried out at a pressure between 50 mTorr and 5 Torr, in particular at a pressure between 100 mTorr and 2 Torr. Advantages can also be found in a pressure range between 250 mTorr and one torr.
  • 1 shows a schematic sectional view through an apparatus for carrying out the method according to the invention
  • 2 shows a schematic sectional view through an alternative device for carrying out the method according to the invention
  • Figs. 3 to 5 are schematic diagrams showing different interaction between a microwave plasma and a substrate
  • Fig. 6 is a graph showing an electron density in the range of a microwave plasma as a function of the distance to plasma rods.
  • FIG. 1 shows a schematic sectional view through an apparatus 1 for forming layers on a substrate 2.
  • the apparatus as such is both suitable for producing layers by reaction with the substrate, such as in oxidizing or nitriding a surface layer of the substrate as well by a deposition from the gas phase. Since the focus of this application is a method for continuous and / or sequential deposition dielectric layer from the Referring to gas phase on a substrate, the device is also described to that effect.
  • the device 1 has an only indicated in outline vacuum housing 3, which defines an elongated process chamber of the flow type 4.
  • the device 1 further comprises a transport mechanism 6, a plasma unit 8, and a heating unit 10.
  • a cooling unit may also be provided which forms a temperature control unit together with the heating unit.
  • the vacuum housing 3 has suitable locks, not shown, for introducing and removing the substrates 2 into the process chamber 4.
  • substrates 2 different substrates 2 can be provided with a dielectric layer in the device 1.
  • the substrate 2 may be at least partially surrounded by a protective element, not shown, which is in the same plane as the substrate in order to avoid edge effects in the coating and to virtually increase the physical surface area of the substrate 2.
  • the protective element should preferably have the same or at least similar physical property as the substrate 2.
  • the process chamber 4 is limited, inter alia, by an upper wall 12 and a lower wall 14.
  • the top wall 12 for example, is constructed of aluminum and treated so as to avoid metal contamination or particles in the process chamber.
  • the upper wall 12 and the lower wall 14 extend substantially parallel to each other, but may also have angled portions to each other, as is known for example from the non-prepublished DE 10 201 1 100 024, which is incorporated herein by reference.
  • An inclined wall portion could be arranged so that the process chamber tapers from an input end to an output end and then joins a straight region.
  • a vacuum pump 16 is provided, via which the process chamber 4 can be pumped out.
  • the pump can also be provided at a different location and it can also be provided several.
  • a pyrometer 18 is provided for a temperature measurement of the substrate 2.
  • another temperature measuring device may be provided at another location of the process chamber or directly on the substrate 2, which can measure, for example, from above the temperature of the substrate 2.
  • the process chamber 4 also has at least one gas supply, not shown, via which a process gas can be introduced into the process chamber 4.
  • the transport unit 6 essentially consists of an endless transport belt 20, which is guided circumferentially via a plurality of deflection and / or transport rollers 22.
  • the normal direction of rotation for a treatment of the substrate 2 is in the clockwise direction, but it is also possible to move the conveyor belt in a counterclockwise direction circumferentially.
  • an overhead Transporttrum the conveyor belt 20 is arranged such that it extends straight through the process chamber 4 therethrough.
  • a substrate 2 is moved from left to right through the process chamber 4.
  • the return of the conveyor belt 20 takes place outside the process chamber 4 in order to be able to carry out, for example, cooling and / or cleaning processes on the conveyor belt 20 there.
  • the conveyor belt 20 should be arranged as completely as possible within the vacuum range, but may also be at least partially outside the vacuum range in a suitable arrangement.
  • the transport unit 6, for example also have a different transport mechanism, such as transport rollers or a magnetic guide.
  • the transport unit 6 can optionally be moved up and down as a whole, as indicated by the double arrow A. This makes it possible the Transport unit 6 and in particular its Transporttrum closer to the upper wall 12 or the lower wall 14 to place, as will be explained in more detail below.
  • the plasma unit 8 is further arranged.
  • the plasma unit 8 is composed of a plurality of plasma electrodes 24.
  • the plasma electrodes are formed as microwave rods.
  • the microwave bars are of the unilaterally microwaved type, as known, for example, from WO 2010/015385 A, which is incorporated by reference for the construction of a preferred microwave bar.
  • the microwave rod has an inner conductor which is completely surrounded by a outer conductor in a first partial region.
  • Adjacent to this sub-area is followed by a sub-area, in which the outer conductor provides an opening which widens to a free end.
  • microwave power is decoupled to produce a plasma.
  • a total of ten microwave rods are provided, which are acted upon by magnetrons, not shown, with microwaves. In each case two microwave rods can be acted upon by a common magnetron.
  • the microwave rods may include one or more plasma ignition devices.
  • the plasma rods can also have a different structure of inner and outer conductors.
  • the structure of the plasma rods can be selected so that a plasma generated thereby is limited in its extent (in particular in the longitudinal direction of the plasma rods) and does not come into contact with walls of the process chamber. This could otherwise result in undesirable reactive species that could lead to metal contamination on the substrate.
  • the plasma rods 24 each extend perpendicular to the plane of the drawing transversely through the process chamber 4. From left to right, ie from an input end to an output end of the process chamber 4, the plasma rods are each uniformly spaced.
  • the device described above is designed as a continuous device.
  • Fig. 2 shows a schematic sectional view of an alternative device 1 for applying layers on a substrate 2 according to an alternative embodiment.
  • the same reference numerals will be used as before, if the same or similar elements are described.
  • the device 1 again has a housing, which is shown only schematically at 3.
  • the housing 3 is again designed as a vacuum housing, and can be pumped off via a vacuum unit, not shown, to vacuum pressure.
  • a process chamber 4 is defined.
  • the device 1 further has a substrate support unit 6, a plasma unit 8 and an optional heating unit 10.
  • the support unit 6 has a substrate support 40, which is rotatably supported by a shaft 42 within the process chamber 4, as shown by the arrow B.
  • the shaft 42 is connected for this purpose with a rotary unit, not shown.
  • the shaft 42 and thus the pad 40 is movable up and down, as shown by the double arrow C.
  • the support level of the support 40 within the process chamber 4 can be adjusted upwards or downwards, as will be explained in more detail below.
  • the plasma unit 8 again consists of ten plasma rods 24, which may be of the same type as previously described.
  • the plasma rods can in turn be applied in pairs with magnetrons, not shown, with power.
  • the plasma rods 24 can optionally via respective guides, not shown, individually displaceable up and down within the Process chamber 4 may be worn, as indicated by the double arrow D.
  • the up and down mobility of the support unit 6 could be omitted, but it can also be provided in addition.
  • this makes it possible to provide, in combination with the rotation of a substrate 2 by the support unit 6, for example, in an edge region of the substrates 2 larger or smaller distances compared to a central region thereof.
  • a protective device may be provided, which surrounds the substrate 2 at least partially in its plane in order to avoid edge effects.
  • the protective device may be arranged with respect to the rotation static or rotatable.
  • a grid may be provided between the plasma rods 24 and the substrate 2 which may be cooled by a cooling unit, not shown, to mitigate a plasma heating effect of the substrate.
  • the grid may optionally consist of electrically conductive material in order to generate an electrical bias on the grid can. This can then be applied, for example via a corresponding control unit with different electrical biases.
  • the optional heating unit 10 which is usually not used for the method according to the invention, but for other processes that can take place in the device 1, consists of a plurality of radiation sources 30, which are arranged parallel or perpendicular to the plasma electrodes 24 can .
  • the radiation sources have each a lamp, such as an arc or halogen lamp, which is surrounded by a quartz tube 32.
  • the device 1 preferably has at least one temperature measuring unit in order to determine the temperature of the substrate 2.
  • the determined temperature can be forwarded to a control unit, not shown, which can then regulate different process parameters based on a temperature specification to bring the substrate temperature to a predetermined value and in particular to keep below a predetermined maximum value.
  • a temperature control unit may be provided in the region of the transport unit.
  • This may, for example, have a multiplicity of radiation sources in order to allow heating of the substrate 2 to a process temperature which is preferably less than 400 ° C., in particular less than 200 ° C.
  • a cooling unit in order to limit a heating of the substrate 2, for example due to a plasma.
  • the apparatus further comprises at least one gas supply, not shown, for introducing a plasma gas and / or a precursor gas.
  • a plasma gas for example, can be introduced laterally via side walls of the vacuum housing 3 in a targeted manner into the region between microwave electrodes and substrate.
  • a precursor orgas could, for example, be directed from above between the microwave electrodes onto the substrate 2 via corresponding openings (not shown) in the upper wall 12 and / or a separate gas distributor.
  • the precursor gas can be introduced in such a way that, after it leaves a corresponding line, it flows through a plasma region of the microwave electrodes and can thus react with the plasma gas, which would be the case for openings in the upper wall 12, for example.
  • the Prekursorgas with elongated line elements, extending through the plasma region of the Microwave electrodes extend therethrough to the substrate 2 to thereby separate the Prekursorgas from the plasma gas.
  • FIG. 2 shows a schematic sectional view of an alternative device 1 for depositing layers on a substrate 2 according to an alternative embodiment, in which in turn a deposition from the gas phase can be carried out.
  • the same reference numerals will be used as before, if the same or similar elements are described.
  • the device 1 again has a housing, which is shown only schematically at 3.
  • the housing 3 is again designed as a vacuum housing, and can be pumped off via a vacuum unit, not shown, to vacuum pressure.
  • a process chamber 4 is defined.
  • the device 1 further has a substrate support unit 6, a plasma unit 8, a temperature control unit 10, and a gas supply unit 50.
  • the support unit 6 has a substrate support 40, which is rotatably supported by a shaft 42 within the process chamber 4, as shown by the arrow B.
  • the shaft 42 is connected for this purpose with a rotary unit, not shown.
  • the shaft 42 and thus the pad 40 is movable up and down, as shown by the double arrow C.
  • the support level of the support 40 within the process chamber 4 can be adjusted upwards or downwards, as will be explained in more detail below.
  • the plasma unit 8 again consists of ten plasma rods 24 as microwave electrodes, which may be of the same type as described above.
  • the plasma rods 24 can in turn be subjected to power in pairs by magnetrons, not shown.
  • the plasma rods 24 may optionally be slidably supported individually within the process chamber 4 via respective guides 46, as indicated by the double-headed arrow D. In such a case, the up and down Mobility of the support unit 6 omitted, but they can also be provided in addition. As a result, local changes in the distance between the plasma rods 24 and the substrate 2 are possible.
  • a protective device may be provided, which surrounds the substrate 2 at least partially in its plane in order to avoid edge effects.
  • the protective device may be arranged with respect to the rotation static or rotatable.
  • a grid may be provided as part of the tempering unit 10 between the plasma rods 24 and the substrate 2 as before.
  • the tempering unit 10 has a plurality of radiation sources 30, which may be arranged parallel or perpendicular to the plasma electrodes 24.
  • the radiation sources each have a lamp, such as an arc or halogen lamp, which is surrounded by a quartz tube 32.
  • the device 1 preferably has at least one temperature measuring unit in order to determine the temperature of the substrate 2.
  • the determined temperature can be forwarded to a control unit, not shown, which then on the basis of a temperature specification Liche process parameters in particular the temperature control unit 1 0 can regulate to bring the substrate temperature to a predetermined value and in particular to keep below a predetermined maximum value.
  • the gas supply unit 50 may have a first, not shown, gas supply in the region of the side walls, via which, for example, a plasma gas, a purge gas etc. can be introduced into the process chamber 4.
  • This may, for example, have the structure described in DE (particle-free gas inlet).
  • a gas distributor 52 which is usually referred to as "showerhead” and has a feed line 53, a distributor space 54 and a multiplicity of outlet lines 55.
  • the supply line 53 extends through the vacuum housing 3 and is connected on the one hand to a gas supply - in particular for at least one precursor gas and possibly other gases such as purge gases - and on the other hand to the distributor space 54.
  • the distributor space 54 lies above the plasma rods 24 and is enclosed by a housing 57, for example made of quartz glass.
  • the housing 57 may extend over the plasma rods 24 so far that it is normally outside a plasma region thereof. It should be noted that the plasma range is not fixed, but depends inter alia on the power fed, the plasma gas and in particular the gas pressure in the process chamber, which affect the expansion of the plasma around the plasma rods 24 around.
  • the outlet conduits 55 communicate with the manifold space 54 and extend vertically downwardly toward the substrate 2.
  • the outlet conduits 55 are aligned with spaces between the plasma rods 24.
  • the outlet conduits 55 terminate above the plasma rods 24, respectively, so that gas leaking therefrom flows toward the substrate 2 through the plasma region of the plasma rods 24.
  • the gas can react with the plasma gas, for example, be decomposed into reactive components when the plasma is burning.
  • the outlet conduits 55 may be extended so that they extend through the plasma regions of the plasma rods 24 and thus separate the gas flowing therethrough from the plasma gas.
  • a suitable plasma gas for example TEOS and oxygen
  • a negative pressure of, for example, 250 mTorr prevails.
  • a plasma of the plasma gas is generated in the region of the plasma rods 24.
  • the process is preferably carried out at a pressure between 50 mTorr and 5 Torr, in particular at a pressure between 100 mTorr and 2 Torr.
  • the substrate 2 is passed through the process chamber from left to right via the conveyor belt 20, while a corresponding plasma burns below the respective plasma rods 24 (the plasma can burn continuously or else be pulsed, for example) to allow sequential deposition).
  • the distance between the substrate 2 and the plasma rods 24 is adjusted such that the substrate surface on which the dielectric layer is to be deposited lies outside but adjacent to the respective plasma region of the plasma rods 24.
  • an electron density of 10 ⁇ 10 10 / cm 3 measured by a Langmuir probe, is defined as the limit of the plasma region. Regions that have a higher electron density are thus considered to lie in the plasma region and regions that have a lower electron density than lying outside the plasma region.
  • FIG. 6 shows a curve which shows an electron density in the region of a microwave plasma as a function of the distance to a plasma rod, in which case the distance to the cladding tube (a cladding tube bounding the inner radius of the plasma) is indicated.
  • the curve was determined from a microwave plasma of pure oxygen at a process pressure of 250mTorr, an oxygen flux of 1 00 sccm, and an input energy density of 6W / cm 2 in a device according to FIG.
  • the electron concentration was measured with a Langmuir probe.
  • the electron concentration decreases rapidly with increasing distance and then less and less.
  • the process pressure and the injected power can determine the distance at which the substrate must be spaced from the plasma rods 24, or also the process gas pressure and / or the fed power can be adjusted to ensure that the surface of the substrate lies in a region in which an electron concentration generated by the microwave plasma, measured by a Langmuir probe, is between 2 and 9 ⁇ 10 10 / cm 3 .
  • the electron concentration should be between 5 and 7 X 10 0 / cm 3, and more preferably about 6 X 10 0 / cm 3 .
  • a precursor gas at least partially forming the dielectric layer is directed to the surface of the substrate facing the microwave plasma, here for example TEOS, which passes through the plasma region and is decomposed into its atomic constituents, which then deposit on the substrate.
  • This deposition is either continuous or sequential operated until a desired layer thickness is reached, which can range from one or only a few atomic layers to larger thicknesses.
  • the electron concentration shows a corresponding depth effect and at the deposition from the Prekursorgas with the reactants a stoichiometrically correct composite layer is generated.
  • a desired layer thickness can range from one or only a few atomic layers to larger thicknesses.
  • the figures each show a plasma strip 24 in section, a section of a substrate 2 in section, consisting of a base substrate 60, for example made of silicon and a dielectric layer 62 formed thereon, for example silicon oxide, electrons 64 (small circles) and ions 66.
  • the dotted Line 65 represents the boundary of the plasma, with the electron concentration outside the plasma decreasing significantly with increasing distance.
  • a desired concentration of electrons 64 is formed on the substrate surface ( Figure 3) which results in polarization of the underlying dielectric ( Figure 4) and the formation of an electric field in the dielectric.
  • the strength of the polarization and the depth effect of the polarization depend on the electron concentration on the surface. That is, the arrangement of the invention, the upper layers of the dielectric are polarized by the appropriate distance to the Mikrowellenplasmavorraum so that correspondingly negatively charged ions 68 drift into the dielectric and there look for reactants 70, to which they can deliver your charge. This process can be continued until all possible reactants 70 have been reached, as indicated in FIG. 4. As a result, the dielectric obtains a high homogeneity (good stoichiometric composition) and quality. In particular, the dielectric has a very homogeneous surface finish for the deposition of the dielectric layer, which is deposited by applying a precursor gas.
  • the formed layer structure is in turn polarized by the electrons on the respectively newly formed surface, whereby also the deposited layer in the above Way fully reacted and is particularly homogeneous.
  • precursors 72 pentagons
  • the method is applicable to all CVD and sequential CVD precursors and their reactants capable of depositing dielectric layers from the vapor phase.
  • dielectric layers can also be homogenized without deposition from the vapor phase. This can be a great advantage for a subsequent deposition.
  • precursor gases which are not suitable for oxide formation, in particular on semiconductors, are mentioned as examples: silane, TEOS (tetraethylorthosilicon), TMA (trimethylaluminum), PEOTa (pentaethanol tantalate), whereby other precursors common in the semiconductor industry are also used can be.
  • These can be used, for example, together with oxygen or oxygen-containing gases as plasma gases for the production of binary and ternary oxides.
  • precursor gases suitable for nitride formation are given as examples: silane, TMA (trimethylaluminum), although other precursors commonly used in the semiconductor industry may also be used. These may be used, for example, together with ammonia or other nitrogen-containing gases as plasma gases for the production of nitrides as dielectric layers.
  • sequential deposition which is also referred to in semiconductor technology as ALD (Atomic Layer Deposition)
  • rinsing steps can be used between different deposition phases.
  • a precursor gas is directed onto a surface of a substrate, for example a semiconductor structure, in a first step.
  • the precursor orgas forms with the reactive bonds of the surface an atomically thin layer whose growth is complete when all possible free bonds of the surface are saturated by the precursor gas.
  • an inert purge gas such as For example, argon or nitrogen is removed from the surface of the semiconductor structure.
  • a further reactive gas is passed to the surface, which reacts with the atomically thin layer from the precursor orgas of the first step and, for example, oxidizes this layer.
  • the reactive gas used in the third step is usually water vapor, ozone or even oxygen radicals.
  • the unused gas from the third step is again removed by an inert purge gas, such as argon or nitrogen from the surface of the semiconductor structure.
  • an inert purge gas such as argon or nitrogen from the surface of the semiconductor structure.
  • another atomically thin layer is deposited again with the first step from precursor orgas and so on.
  • the plasma is preferably pulsed such that the precursor gas is introduced when no plasma is burning, ie between periods when the plasma is burning.
  • the substrate for depositing a microcrystalline or nanocrystalline diamond layer within the plasma so that the electron concentration is between 10 and 30 ⁇ 10 10 / cm 3 , in particular between 10 and 20 ⁇ 10 10 / cm 3 lies.
  • hydrogen could serve as plasma gas while a carbon-containing gas such as methane could be used as precursor gas.
  • the electron concentration is so high that layers depositing on the substrate (possibly also on the cladding tube) assume a diamond structure with a single bond between the carbon atoms. These layers are insulating and would not interfere with microwave radiation even if they deposit on the cladding tube.
  • a graphene layer having a double bond between the carbon atoms can be deposit, for example, on silicon, silicon carbide, aluminum oxide, quartz, glass, metal, but also all other substrates which are not impaired by the plasma and any heating that may occur as a result.
  • the invention has been described above with reference to preferred embodiments of the invention, without being limited to the specific embodiments.
  • other high-quality dielectric layers can also be deposited from the vapor phase at low temperatures, with appropriate gases for the plasma and corresponding precursors to be selected.
  • the layer can be deposited in particular on different materials, such as metal or polymers.
  • the temperatures should preferably be below the glass transition point of the polymers.
  • this may be achieved by a cooling unit to maintain plasma heating under the glass point.
  • the above processes can also be carried out at lower temperatures below 250 ° C. or below 200 ° C., since diffusion of the reactants in the layer formed is primarily caused by the electric field produced by the electron concentration. Alternatively, it can of course also be promoted by elevated temperatures, provided that the substrate allows higher temperatures.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

L'invention concerne un procédé de dépôt continu et/ou séquentiel d'une couche diélectrique issue de la phase gazeuse sur un substrat. Selon ledit procédé, un plasma micro-ondes est produit au moyen d'au moins une électrode micro-ondes, le substrat est placé dans une zone située en-dehors du plasma micro-ondes, dans laquelle une concentration en électrons produite par le plasma micro-ondes est comprise entre 2 et 9 X 1010/cm3, et un gaz précurseur formant la couche diélectrique est guidé sur la face du substrat orientée vers le plasma micro-ondes. L'invention concerne également un procédé de dépôt continu et/ou séquentiel d'une couche de diamant nanocristalline issue de la phase gazeuse sur un substrat. Selon ledit procédé, un plasma micro-ondes est produit au moyen d'au moins une électrode micro-ondes, le substrat est placé dans une zone située dans le plasma, de telle manière que la concentration en électrons à la surface du substrat est comprise entre 10 et 30 X 1010/cm3, et un gaz précurseur contenant du carbone est guidé sur la face du substrat orientée vers le plasma micro-ondes.
PCT/EP2012/003898 2011-09-19 2012-09-18 Procédé de dépôt continu et/ou séquentiel d'une couche diélectrique issue de la phase gazeuse sur un substrat WO2013041214A2 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102011113751.7 2011-09-19
DE102011113751.7A DE102011113751B4 (de) 2011-09-19 2011-09-19 Verfahren zum stetigen oder sequentiellen abscheiden einer dielektrischen schicht aus der gasphase auf einem substrat

Publications (2)

Publication Number Publication Date
WO2013041214A2 true WO2013041214A2 (fr) 2013-03-28
WO2013041214A3 WO2013041214A3 (fr) 2013-05-23

Family

ID=47044938

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2012/003898 WO2013041214A2 (fr) 2011-09-19 2012-09-18 Procédé de dépôt continu et/ou séquentiel d'une couche diélectrique issue de la phase gazeuse sur un substrat

Country Status (2)

Country Link
DE (1) DE102011113751B4 (fr)
WO (1) WO2013041214A2 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220181143A1 (en) * 2015-10-20 2022-06-09 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus for manufacturing a thin film and a method therefor
US11407946B2 (en) 2012-06-08 2022-08-09 Battelle Memorial Institute Combined hydrothermal liquefaction and catalytic hydrothermal gasification system and process for conversion of biomass feedstocks

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06299357A (ja) 1993-04-15 1994-10-25 Mitsubishi Heavy Ind Ltd 電子サイクロトロン共鳴プラズマの科学蒸着装置
DE69431115T2 (de) 1993-07-09 2003-02-27 Energy Conversion Devices Inc Verfahren zur verbesserten mikrowellenbeschichtung dünner filme
WO2010015385A1 (fr) 2008-08-07 2010-02-11 Gschwandtner, Alexander Dispositif et procédé pour générer des couches diélectriques dans un plasma micro-ondes

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0225577A (ja) * 1988-07-15 1990-01-29 Mitsubishi Electric Corp 薄膜形成装置
JPH04337076A (ja) * 1991-05-14 1992-11-25 Yuuha Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのプラズマ及びラジカルcvd法による高速成膜方法
US6497783B1 (en) * 1997-05-22 2002-12-24 Canon Kabushiki Kaisha Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
JP5422854B2 (ja) * 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
US20100078320A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
DE102011100024A1 (de) 2011-04-29 2012-10-31 Centrotherm Thermal Solutions Gmbh & Co. Kg Verfahren zum ausbilden einer schicht auf einem substrat

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06299357A (ja) 1993-04-15 1994-10-25 Mitsubishi Heavy Ind Ltd 電子サイクロトロン共鳴プラズマの科学蒸着装置
DE69431115T2 (de) 1993-07-09 2003-02-27 Energy Conversion Devices Inc Verfahren zur verbesserten mikrowellenbeschichtung dünner filme
WO2010015385A1 (fr) 2008-08-07 2010-02-11 Gschwandtner, Alexander Dispositif et procédé pour générer des couches diélectriques dans un plasma micro-ondes

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11407946B2 (en) 2012-06-08 2022-08-09 Battelle Memorial Institute Combined hydrothermal liquefaction and catalytic hydrothermal gasification system and process for conversion of biomass feedstocks
US20220181143A1 (en) * 2015-10-20 2022-06-09 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus for manufacturing a thin film and a method therefor
US12014922B2 (en) * 2015-10-20 2024-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for manufacturing a thin film and a method therefor

Also Published As

Publication number Publication date
DE102011113751A1 (de) 2013-03-21
DE102011113751B4 (de) 2016-09-01
WO2013041214A3 (fr) 2013-05-23

Similar Documents

Publication Publication Date Title
EP2311066B1 (fr) Dispositif et procédé pour générer des couches diélectriques dans un plasma micro-ondes
DE60305605T2 (de) Schicht bildendes Apparat und Verfahren
DE68911373T2 (de) Verfahren zur Erzeugung eines hohen Flusses von aktivierten Teilchen für die Reaktion mit einem entfernt angeordneten Substrat.
DE3587964T2 (de) Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas.
DE102010000002B4 (de) Verfahren zur Abscheidung von Mehrlagenschichten und/oder Gradientenschichten
DE68910378T2 (de) Anlage zur Erzeugung dünner Schichten.
DE102004001099A1 (de) Oxidationsverfahren mit hochdichtem Plasma
WO2018193055A1 (fr) Procédé et dispositif pour la formation d'une couche sur un substrat semi-conducteur ainsi que substrat semi-conducteur
DE4132560C1 (en) Plasma-aided deposition of film for integrated semiconductor circuit - using neutral particles, activated by microwave in separate chamber, and non-excited reaction gas, etc.
EP2732065B1 (fr) Procédé pour former une couche sur un substrat à basses températures
DE69835765T2 (de) Plasma-Verfahren
DE102010056020B4 (de) Verfahren und Vorrichtung zum Ausbilden einer dielektrischen Schicht auf einem Substrat
DE2726265A1 (de) Verfahren zum zuechten genetischer halbleiteroxidschichten
EP2647037A1 (fr) Procédé de passivation à l'hydrogène de couches de semi-conducteurs
DE102011113751B4 (de) Verfahren zum stetigen oder sequentiellen abscheiden einer dielektrischen schicht aus der gasphase auf einem substrat
WO2020069700A1 (fr) Installation de revêtement de cellules solaires
DE102011100024A1 (de) Verfahren zum ausbilden einer schicht auf einem substrat
DE19943064A1 (de) Verfahren und Vorrichtung zur epitaktischen Abscheidung von Atomen oder Molekülen aus einem Reaktivgas auf einer Abscheidungsoberfläche eines Substrats
KR20170056386A (ko) 층 수 제어가능한 이황화몰리브덴 박막 제조방법
DE102011119013B4 (de) Verfahren zum ausbilden einer dielektrischen schicht auf einem substrat
EP2609613A1 (fr) Procédé et dispositif pour traiter un substrat par plasma
DE112020002193T5 (de) Plasmaunterstützte Abscheidung von Atomlagen bei hoher Spannung und niedrigem Druck
DE60015643T2 (de) Vorrichtung und Verfahren zur Herstellung eines Films auf einem Träger
DE69834660T2 (de) Borhältiger fluorkohlenstoff-film und herstellungsmethode
WO2012156062A1 (fr) Procédé de traitement au plasma d'un substrat dans un dispositif à plasma

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12774920

Country of ref document: EP

Kind code of ref document: A2

122 Ep: pct application non-entry in european phase

Ref document number: 12774920

Country of ref document: EP

Kind code of ref document: A2