WO2012075249A1 - Fiber-optic beam delivery system for wafer edge processing - Google Patents

Fiber-optic beam delivery system for wafer edge processing Download PDF

Info

Publication number
WO2012075249A1
WO2012075249A1 PCT/US2011/062832 US2011062832W WO2012075249A1 WO 2012075249 A1 WO2012075249 A1 WO 2012075249A1 US 2011062832 W US2011062832 W US 2011062832W WO 2012075249 A1 WO2012075249 A1 WO 2012075249A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
edges
edge
substrate
laser
Prior art date
Application number
PCT/US2011/062832
Other languages
French (fr)
Inventor
Ronald P. Millman
Kenneth J. Harte
Victoria M. Chaplick
David J. Elliott
Original Assignee
Uvtech Systems, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Uvtech Systems, Inc. filed Critical Uvtech Systems, Inc.
Priority to JP2013542169A priority Critical patent/JP2014504004A/en
Publication of WO2012075249A1 publication Critical patent/WO2012075249A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K37/00Auxiliary devices or processes, not specially adapted to a procedure covered by only one of the preceding main groups
    • B23K37/04Auxiliary devices or processes, not specially adapted to a procedure covered by only one of the preceding main groups for holding or positioning work
    • B23K37/0426Fixtures for other work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0619Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams with spots located on opposed surfaces of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/067Dividing the beam into multiple beams, e.g. multifocusing
    • B23K26/0676Dividing the beam into multiple beams, e.g. multifocusing into dependently operating sub-beams, e.g. an array of spots with fixed spatial relationship or for performing simultaneously identical operations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/0823Devices involving rotation of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/10Devices involving relative movement between laser beam and workpiece using a fixed support, i.e. involving moving the laser beam
    • B23K26/103Devices involving relative movement between laser beam and workpiece using a fixed support, i.e. involving moving the laser beam the laser beam rotating around the fixed workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/14Working by laser beam, e.g. welding, cutting or boring using a fluid stream, e.g. a jet of gas, in conjunction with the laser beam; Nozzles therefor
    • B23K26/142Working by laser beam, e.g. welding, cutting or boring using a fluid stream, e.g. a jet of gas, in conjunction with the laser beam; Nozzles therefor for the removal of by-products
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/16Removal of by-products, e.g. particles or vapours produced during treatment of a workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/361Removing material for deburring or mechanical trimming
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K37/00Auxiliary devices or processes, not specially adapted to a procedure covered by only one of the preceding main groups
    • B23K37/04Auxiliary devices or processes, not specially adapted to a procedure covered by only one of the preceding main groups for holding or positioning work
    • B23K37/047Auxiliary devices or processes, not specially adapted to a procedure covered by only one of the preceding main groups for holding or positioning work moving work to adjust its position between soldering, welding or cutting steps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26

Definitions

  • This patent application generally relates to wafer processing in the
  • This disclosure specifically relates to a fiber-optic beam delivery system for the removal of organic, inorganic, and other semiconductor films, residues, and particles from the edges of silicon wafers or other substrates. These materials are removed in order to reduce the number of edge-related defects that cause loss of good die in integrated circuit manufacturing.
  • edges In the fabrication of semiconductors, a number of different types of unwanted films, particles, and residues are formed or are left on the edges of silicon wafers.
  • the relevant edges include the top (or front), top bevel, apex (or sidewall), bottom bevel, and bottom (or back) edges of wafers (hereinafter "wafer edges"). These edges are further specified in the International Standards published by Semiconductor
  • SEMI Equipment and Materials International
  • the unwanted materials on wafer edges may be organic polymer films, such as photoresist edge beads, or residues, such as post-etch polymer films that remain on the edge of a wafer after a plasma etching operation.
  • organic polymer films such as photoresist edge beads
  • residues such as post-etch polymer films that remain on the edge of a wafer after a plasma etching operation.
  • Other types of unwanted materials on wafer edges include metals, 'black silicon', silicon particles, and polishing residues.
  • wafers are transported from one tool to another in cassettes, and once loaded into a tool, are moved between process stations with robots handlers.
  • films at the very edges of wafers become abraded, cracked, and broken. Cracked film fragments and particles contaminate vacuum chucks, robotic handlers, and cassettes, causing tool down time and die yield loss.
  • Backside wafer contamination may distort or tilt the wafer during subsequent imaging, also causing yield loss.
  • Photoresist spin coating is repeated many times in the fabrication of an integrated circuit chip, depending on the number of lithographic levels used to make the device.
  • a thickened bead of photoresist is formed at the outermost top edge. Excess photoresist also migrates to the apex, beveled edges, and bottom edge of the wafer.
  • the photoresist bead on the edge is easily cracked by robots handling the wafers, resulting in flakes of photoresist re-depositing on good die, causing yield loss. Any photoresist remaining on the wafer's bottom edge also sticks to vacuum chucks, causing leveling and distortion problems in lithography, and creating more cracked flakes of photoresist.
  • the first method is called wafer edge exposure and development (WEE), a two-step process described below in a prior art patent.
  • WEE wafer edge exposure and development
  • the second conventional method for photoresist removal from wafer edges is solvent spraying or solvent cleaning.
  • etch residues are thin, tough films such as halogenated polymers. They are deposited mainly on the wafer's bevel, apex, and bottom edges. They cannot be removed by conventional wet chemical means, and are currently removed with a grinder, in combination with a fluid to make a slurry. This is a messy and particle-generating process that leaves many defects behind. If these etch residues are not removed, they will crack, break up and leave particles on otherwise usable portions of the wafer, causing die loss.
  • edge-cleaning problem is the removal of copper films from wafer edges, left over from copper metallization and etching steps. Remaining copper films on the wafer edges will cause electrical shorting and arcing during subsequent wafer processing steps. Copper films left on wafer edges must removed by a complex etching operation, for example wet etching followed by high purity water rinsing and drying steps.
  • RIE reactive ion etch
  • edge cleaning problem is the generation of silicon particles from edge thinning operations, commonly used in 3D interconnect devices. Grinding with abrasive wheels leaves large ridges of silicon that interfere with wafer- to-wafer bonding operations. Currently, the only method of removing these ridges is by chemical etching with hydrofluoric acid and water rinsing.
  • CMP chemical-mechanical polishing
  • edge-cleaning problem arises from the use of silicon-containing photoresist such as in tri-layer film stacks, consisting of an organic polymer layer, a carbon-based layer, and a silicon-containing layer.
  • the silicon content can typically be up to 50% of the polymer content, and conventional removal methods, such as wafer edge exposure and development (WEE) or solvent cleaning, will not completely remove these silicon based films. Removal of silicon layers is currently performed in an etching operation with a reactive ion etcher system using fluorinated gas mixtures, followed by considerable water rinsing and drying steps.
  • Wafer edge processing in semiconductor manufacturing requires many different types of chemicals and tools, and is therefore complex and costly. Each of these conventional methods is described in more detail below with reference to specific prior art.
  • One example of a problem with the prior art relates to a method for dispensing liquid in a solvent-based edge cleaning process, as described in U.S. Patent 5,392, 989 and U.S. Patent Publication No. 2010/0051073 Al.
  • This problem relates to the inability of the liquid solvent to control the geometry of the cleaned edge.
  • the cleaning fluid is dispensed and spreads on the wafer surface, leaving a tapered edge of photoresist that may be several millimeters wide.
  • the width of the area on the periphery of the wafer lost due to edge cleaning is called the 'edge exclusion'.
  • the International Technology Roadmap for Semiconductors (ITRS) specifies the parameters for integrated circuit production into the future, and the semiconductor industry companies follow this path.
  • the ITRS specifies a reduction of the edge exclusion from the current 3-5mm to below 1mm in the future.
  • Solvent-based edge cleaning cannot currently meet this specification due to the inability to effectively control the solvents. This inability includes solvent splashing onto good die causing yield loss.
  • solvent edge cleaning a thin residue of photoresist is typically left behind, requiring further chemical processing and rinsing to remove.
  • Another example of a problem with the prior art relates to etching the edge of a wafer in a chamber with acids, as described in U.S. Patent 7,267,726 B2 and U.S. Patent 7,182,821 B2.
  • Some of the acids used are hydrofluoric (HF), sulfuric (H 2- S0 4 ), and nitric (HN0 3 ).
  • HF hydrofluoric
  • H 2- S0 4 sulfuric
  • HN0 3 nitric
  • This method is specifically used to remove metal films, but due to the non-uniformity of these films at the edge of the wafer, it is difficult to control the etch depth.
  • This method is also used for removing Teflon-like particles, but is not effective for removing photoresists.
  • WEE photoresist edge bead exposure and development
  • This method requires two pieces of equipment to remove the photoresist edge bead: an exposure source, which is a high intensity lamp, and a separate wet developing system that uses an alkaline developer followed by a single or double rinse with high purity water. Since multiple pieces of equipment are used, this increases the time to complete the process, reducing throughput.
  • the exposure step is often not adequate in removal of very thick edge beads; therefore a solvent cleaning process step is required.
  • lithographic masking method As described in U.S. Patent Publication No. 2007/0093067 Al and U.S. Patent 7,745,095 B2.
  • This method is complex in that at least four individual steps are required to clean the wafer edge. Steps may include pre-cleaning, depositing and removing of organic coatings, lithographic exposure, developing, rinsing, and drying.
  • This method requires multiple pieces of expensive equipment, consumes considerable volumes of solvent and water, and reduces throughput.
  • CMP Chemical mechanical polishing
  • Another example of a problem with the prior art relates to the use of frozen carbon dioxide particles to clean wafer edges, as described in U.S. Patent 7,270,136 B2.
  • the problems with this method are that the equipment used to perform this process is highly complex and expensive, and the impact of the frozen particles can cause damage to the substrate and to otherwise usable die.
  • Another example of a problem with the prior art relates to applying a flame to the edge of a wafer in the presence of reactive gasses including halogens and ozone, as described in U.S. Patent Publication No. 2008/0010845 Al.
  • a hydrogen-rich flame with oxygen and nitrogen trifluoride (NF 3 ) produces hydrogen fluoride (HF) that etches the edge of the wafer.
  • Nitrogen trifluoride is a highly toxic and expensive gas that is typically used to clean deposition chambers in
  • this method may require pre-heating the wafer to prevent condensation of the by-products onto the wafer, causing die loss.
  • the apparatus described is very complex since the gas plumbing and safety requirements are extreme for the types of gases proposed for this edge cleaning method. Lastly, the method cannot remove films from all wafer edges in a single step and is not effective for photoresist removal.
  • Another example of a problem with the prior art relates to the use of a pressurized stream of a non-solvent medium, which may be a gas, to clean wafer edges, as described in U.S. Patent Publication No. 2004/0126923 Al.
  • a non-solvent medium which may be a gas
  • An expected problem with this method would be the scattering of particles onto the usable areas of the wafer, as well as the surrounding equipment.
  • This prior art uses a chamber, which further complicates the process of removing the debris, as it becomes confined in the chamber, and can re-deposit onto the wafer as well as build-up on the chamber walls.
  • Another problem with this prior art method is that the gas used is inert, and therefore does not permit reaction with films on the wafer edges.
  • Yet another problem with this prior art is that this method shows cleaning only on the top surface.
  • edge cleaning methods Due to the limitations of current edge cleaning methods, otherwise usable space on the periphery of a wafer is consumed. As an example, with a die size of 7.5mm x 7.5mm, increasing the usable space on a 300mm wafer by decreasing the edge exclusion width from 5.0mm to 0.6mm results in a potential gain of 64 die per wafer. Therefore, considerable effort is given to keep the edge cleaning area, called the edge exclusion, to a minimum.
  • the ITRS specifically in the area of edge exclusion, indicates the need to move from current 3 -5mm edge exclusion down to lmm or less in the next two years.
  • the present disclosure is directed to removal or transformation of unwanted films, particles, and residues on the edges of substrates, such as silicon wafers, by directing laser radiation, in the presence of air or other fluids, to the top edge, top bevel, apex, bottom bevel, and bottom edge (hereinafter 'wafer edges') portions of the substrate.
  • By-products are removed by means of a vacuum exhaust.
  • a single fiber feeds an output coupler mounted on a curved track, which continuously directs the beam to any or all wafer edges.
  • a beam distribution module is coupled to a laser and directs one or more laser beams into corresponding optical fibers. The optical fibers then feed corresponding output couplers.
  • the output couplers can be permanently fixed in location or mounted to positioning devices to direct laser beams to two or more wafer edges, either sequentially or simultaneously.
  • One object herein is to direct a laser beam onto all edges of a rotating substrate in order to address a wide variety of materials in a single tool with a single dry process.
  • a preferred embodiment processes materials on all wafer edges, in a single tool, by the use of a fiber optic beam delivery assembly to direct the laser beam to each edge.
  • Another object is to process circumferential bands on the edges of a wafer that are wider than the laser beam.
  • an output coupler is moved along a curved track for this purpose.
  • multiple output couplers are mounted on positioning devices for this purpose.
  • an array output couplers direct beams to different points along the wafer edge for this purpose.
  • Another object herein is to provide an apparatus that can be integrated into an edge processing system that does not require the use of solvents, corrosive chemicals, or large volumes of highly purified water, and does not harm the environment.
  • the system operates at atmospheric pressure and uses laser radiation in the presence of gases, such as air or benign gas mixtures, to remove materials from wafer edges.
  • gases such as air or benign gas mixtures
  • Another object herein is to prevent process by-products from re-depositing onto usable die.
  • a laser and fiber-optical beam delivery assembly in conjunction with a vacuum exhaust system removes unwanted films, particles, and residues from wafer edges without re-depositing byproducts onto otherwise usable die.
  • a preferred embodiment uses a cylindrical exhaust tube, which envelops the substrate edge, with a longitudinal slot for the substrate and circumferential slots for the laser beam, and a vacuum exhaust source to create airflow to carry by-products and film residues away from the reaction zone, preventing any debris from landing elsewhere on the substrate.
  • a fiber-optical beam delivery assembly is provided that can be integrated into an edge processing apparatus to effectively remove or transform multiple film types, residues, and particles including, but not limited to, photoresist edge beads, photoresist residues, silicon-based polymer films, post-etch polymer residues, multilayer film stacks, polishing residues, particles, metal films, and dielectric films. This is achieved by the interaction of the laser radiation with the unwanted films, residues, or particles and surrounding gas on all wafer edges.
  • Another object is to precisely control the width of the area being processed on the edge of a substrate, in order to increase the number of usable die and meet future semiconductor manufacturing requirements. This is accomplished by the use of a high-accuracy fiber-optical beam delivery assembly directing a precisely defined laser beam to all of the wafer edges.
  • FIG. 1 is a cross-sectional diagram of a wafer identifying the surfaces addressed by the laser beam.
  • FIG. 2 is a schematic drawing of a fiber-optic head that focuses the beam onto a wafer.
  • FIG. 3a is a side-view schematic drawing showing three mechanisms that move three fiber-optic heads to deliver laser beams across the top, apex, and bottom of a rotating wafer.
  • FIGS. 3b-d are cross-sectional views of the beam scanning the edges of a wafer.
  • FIG. 4a is a side-view schematic drawing showing five mechanisms that move five fiber-optic heads to deliver laser beams across the top, top bevel, apex, bottom bevel, and bottom of a rotating wafer.
  • FIGS. 4b-f are cross-sectional views of the beam scanning the edges of a wafer.
  • FIG. 5a is a side-view schematic showing a single fiber-optic head mounted on a track to deliver a laser beam to all edges of a rotating wafer.
  • FIG. 5b is a cross-sectional view of the beam scanning all edges of a wafer.
  • FIG. 6a is a side-view schematic drawing showing multiple fixed fiber-optic heads delivering laser beams to the edges of a rotating wafer.
  • FIG. 6b is a cross-sectional view of multiple fixed beams being directed to multiple edges of a wafer.
  • FIG. 6c is a side-view schematic drawing showing multiple exhaust nozzles used with the configuration shown in FIG. 6a.
  • FIG. 7a is an isometric view schematic drawing showing an exhaust tube used with the configurations shown in FIGS. 2a, 3a, 4a, and 5a.
  • FIG. 7b is a top view schematic drawing showing an exhaust tube used with the configurations shown in FIGS. 2a, 3a, 4a, and 5a.
  • FIG. 7c is an isometric view showing the exhaust system configuration with the exhaust tube retracted for wafer loading.
  • FIG. 7d is a top view showing the exhaust system configuration with the exhaust tube retracted for wafer loading or unloading.
  • FIG. 8a is a side view of an optional gas injection system of the edge processing system.
  • FIG. 8b is an isometric view of a second configuration of an optional gas injection system of the edge processing system.
  • FIG. 8c is an isometric view of a third configuration of an optional gas injection system of the edge processing system.
  • FIG. 9 is a side view of the fiber-optic beam delivery assembly integrated into a wafer processing system.
  • FIG. 10a shows an example of the usable die lost with prior art edge exclusion.
  • FIG. 10b shows an example of the usable die lost with the edge processing method and apparatus disclosed herein.
  • the disclosure describes an apparatus and methods(s) for removing or transforming unwanted films, residues, and particles from the top, top bevel, apex, bottom bevel, and bottom (hereinafter 'wafer edges') of semiconductor substrates.
  • the present invention provides a fiber-optic delivery assembly used for removing or transforming unwanted organic or inorganic films, particles, or residues from all of the wafer edges.
  • the wafer is mounted on a rotary chuck, allowing the entire circumference of the wafer to be cleaned.
  • FIG. 1 identifies the edges of a wafer 30, which are addressed by the present invention and are referred to in the specification that follows.
  • the surfaces that are addressed are the top 31, top bevel 32, apex 33, bottom bevel 34, and bottom 35.
  • FIG. 2 shows a fiber-optic head 24 that focuses a laser beam 12 from an incoming fiber 23 onto the edge of wafer 30. It includes an output coupler 27 at the end of the fiber 23 and a focusing lens 28, which contains one or more elements, mounted between the output coupler 27 and the edge of wafer 30.
  • the embodiment shown in FIG. 3a utilizes a laser source 10 that emits a beam 11, which enters input coupler 20 of a fiber-optic cable 21.
  • the fiber-optic cable 21 enters a fiber-optic beam delivery assembly 81.
  • the fiber-optic beam delivery assembly 81 contains a distribution module 22.
  • the distribution module 22 splits the beam 11 into three separate beams, which pass through three fiber-optic cables 23 and three fiber-optic heads 24, mounted to three positioning devices 25.
  • the distribution module 22 switches the beam between three separate fiber-optic cables 23 and fiber-optic heads 24, mounted to three positioning devices 25.
  • the positioning devices 25 accurately locate the fiberoptic heads 24, scanning the beams 12, 14, and 16 across all edges of wafer 30.
  • FIG. 3b shows beam 12 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the top bevel 32 and top 31 of wafer 30, as shown in FIG. 1.
  • FIG. 3c shows beam 14 scanning wafer 30 axially downwards and removing film, residue, or particles 36 from the top bevel 32, apex 33 and bottom bevel 34 of wafer 30, as shown in FIG. 1.
  • FIG. 3d shows beam 16 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the bottom bevel 34 and bottom 35 of wafer 30, as shown in FIG. 1.
  • the embodiment shown in FIG. 4a utilizes a laser source 10 that emits a beam 11, which enters input coupler 20 of a fiber-optic cable 21.
  • the fiber-optic cable 21 enters a fiber-optic beam delivery assembly 81.
  • the fiber-optic beam delivery assembly 81 contains a distribution module 22.
  • the distribution module 22 splits the beam 11 into five separate beams, which pass through five fiberoptic cables 23 and five fiber-optic heads 24, mounted to five positioning devices 25.
  • the distribution module 22 switches the beam between five separate fiber-optic cables 23 and five fiber-optic heads 24, mounted to five positioning devices 25.
  • the positioning devices 25 accurately locate the fiber-optic heads 24, scanning the beams 12, 13, 14, 15, and 16 across all edges of wafer 30.
  • FIG. 4b shows beam 12 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the top bevel 32 and top 31 of wafer 30, as shown in FIG. 1.
  • FIG. 4c shows beam 13 scanning wafer 30 diagonally and removing film, residue, or particles 36 from the top 31, top bevel 32, and apex 33 of wafer 30, as shown in FIG. 1.
  • FIG. 4d shows beam 14 scanning wafer 30 axially downwards and removing film, residue, or particles 36 from the top bevel 32, apex 33 and bottom bevel 34 of wafer 30, as shown in FIG. 1.
  • FIG. 4e shows beam 15 scanning wafer 30 diagonally and removing film, residue, or particles 36 from the bottom 35, bottom bevel 34, and apex 33 of wafer 30, as shown in FIG. 1.
  • FIG. 4f shows beam 16 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the bottom bevel 34 and bottom 35 of wafer 30, as shown in FIG. 1.
  • FIG. 5a utilizes a laser source 10 that emits a beam 11, which enters input coupler 20 of a fiber-optic cable 23.
  • the fiberoptic cable 23 enters a fiber-optic beam delivery assembly 81 where it attaches to a fiber-optic head 24.
  • the fiber-optic head 24 slides along a track 26.
  • the track 26 is shaped in such a way as to position the fiber-optic head 24 exactly the same distance from, and orthogonal to the edge of wafer 30 as it travels around track 26, scanning beam 17 around the edge of wafer 30.
  • the wafer 30 is placed on a chuck 60 and is rotated by a servomotor assembly 61. Reaction by-products are removed by means of an exhaust tube 40.
  • FIG. 5b shows beam 17 being scanned around the edge of wafer 30 from the top to the bottom and removing film, residue or particles 36.
  • the embodiment shown in FIG. 6a utilizes a laser source 10 that emits a beam
  • the fiber-optic beam delivery assembly 81 contains a distribution module 22.
  • the distribution module 22 splits the beam 11 into multiple separate beams, which pass through corresponding fiber-optic cables 23 and fiber-optic heads 24, mounted in fixed positions around the edges of wafer 30.
  • the distribution module 22 switches the beam 11 into multiple separate beams, which pass through corresponding fiber-optic cables 23 and fiber-optic heads 24, mounted in fixed positions around the edges of wafer 30.
  • Each fiber-optic head emits a beam 17 addressing a portion of the edge of wafer 30.
  • Wafer 30 is placed on a chuck 60 and is rotated by a servomotor assembly 61. Reaction by-products are removed by means of an exhaust tube 40.
  • FIG. 6b shows beams 17 being directed to multiple edges of wafer 30 and removing a film, a residue or particles 36.
  • FIG. 6c shows an alternative exhaust system for the fiber-optic configuration of FIG. 6a.
  • multiple exhaust tubes 50 are used to draw the by- products 55 away from the edge of the wafer 30, and into an external exhaust system.
  • An exhaust system shown in FIG. 7a and 7b carries reaction by-products 45 away from the reaction site at the wafer 30.
  • the servomotor assembly 61 rotates wafer 30 through a slot 41 in the side of exhaust tube 40. Laser beams 12, 13, 14, 15, and 16 are directed through slot 42 to all edges of wafer 20.
  • An external exhaust source draws ambient gas through the intake end 43 of the exhaust tube 40, thus carrying away reaction by-products 45.
  • reversing the rotation to oppose the direction of the exhaust flow may increase the relative flow velocity at the wafer surface thereby improving the performance of the exhaust system.
  • FIG. 7c and 7d show the same exhaust system as shown in FIG. 7a and 7b, with the exhaust tube 40 in a retracted position to allow a robot to load or unload the wafer 30.
  • the optional gas injection systems shown in FIGS. 8a, 8b, and 8c may enhance the reaction between the laser beam and the material being processed. Gases are fed from an external source into the system. Such injected gas may be beneficial in processing the unwanted films, residues, or particles.
  • the injected gas is an oxidizing gas. Reducing gases such as hydrogen are also effective in removing photoresist.
  • the injection of hydrogen may also be used to prevent the formation of an oxide layer.
  • the gas injection system may also enhance the removal of unwanted by-products.
  • the gas streams 95 and/or 96 are produced by a top injector 90 and/or a bottom injector 91 that are fed by gas lines 99.
  • the angle of the injectors 90 and/or 91 can be adjusted with respect to the surface of wafer 30, which is mounted on vacuum chuck 60 and is rotated by servomotor assembly 61.
  • the laser beams 12, 13, 14, 15, and 16 process the edges of wafer 30 while gas streams 95 and/or 96 are flowing.
  • the gas stream 97 is produced by an axial injector 92 that is fed by a gas line 99 into the intake end 43 of the exhaust tube 40.
  • This configuration adds gas to the environment surrounding the reaction site.
  • the laser beams 12, 13, 14, 15, and 16 process the edges of wafer 30 while gas stream 97 is flowing.
  • the gas stream 98 is produced by an injector 93, attached to an orifice in the side of the exhaust tube 40, and fed by gas line 99 such that the gas flow is aimed at the reaction site on the wafer 30.
  • the laser beams 12, 13, 14, 15, and 16 process the edges of wafer 30 while gas stream 98 is flowing.
  • FIG. 9 shows a fiber-optic beam delivery assembly 81 and all of its supporting components integrated into an embodiment of a wafer edge processing system 80.
  • Robot 72 gets wafer 30 from FOUP (Front Opening Unified Pod) 71, which is located on top of load port module 70, and places wafer 30 onto vacuum chuck 60.
  • Exhaust tube 40 is then slid into place around wafer 30.
  • Laser 10 emits beam 11, which enters fiber-optic cable 21 through input coupler 20, and then enters fiber-optic beam delivery assembly 81.
  • fiberoptic beam delivery assembly 81 directs the beam to one or more wafer edges, as shown in FIGS 3b-3d, FIGS 4b-4f, FIG 5b, and FIG 6b.
  • Exhaust tube 40 carries by-products 45 away from the reaction site. After wafer 30 has been processed, exhaust tube 40 slides away from the wafer 30 and robot 72 removes wafer 30 from vacuum chuck 60 and returns it to FOUP 71.
  • the preferred embodiment is an all-dry process with no waste-treatable byproducts.
  • Edge processing or edge film removal from the top bevel, apex, bottom bevel, and bottom edges of the wafer can also be accomplished during the same process cycle.
  • This method and apparatus is capable of processing 0 to 25mm from the bottom edge, to an accuracy of +/-0.1mm, in order to remove the photoresist that has migrated to the bottom side of the wafer.
  • the method and apparatus is capable of processing 0 to 25mm from the top edge, to an accuracy of +/-0.1mm.
  • Cleaning the apex is also important since this edge of the wafer contacts the sides of wafer cassettes and robotic handlers used during transport in a manufacturing line. Any breaks or cracks in an un-removed edge bead can then create particles that may get transferred to other cassettes and other wafers, causing defects and loss of useable die.
  • the edge exclusion width can be reduced from the current 3-5mm to less than 1mm.
  • FIG. 10a shows an example of a wafer 30 with a 5.0mm edge exclusion width. Any die that are intersected by the edge exclusion region are lost. In this example there are eighty lost die 38.
  • the edge exclusion width can be reduced to 0.6mm, limited only by the accuracy of the beam placement, which is better than 0.1mm. This results in only sixteen lost die 39, as shown in FIG. 10b.
  • the laser is a Nd:YAG laser and is configured to emit pulsed radiation at a wavelength of 355nm, with a range of pulse repetition rates from 10,000Hz to 100,000Hz, which result in variable power levels and pulse energies.
  • Other YAG lasers can be configured to emit radiation at other repetition rates in a range from 1,000Hz to 500,000Hz, and other wavelengths such as 213nm,
  • Beam shaping optics may be used to alter the profile of the laser beam.
  • diffractive beam-shaping optics are used to produce a beam that has a top-hat profile in the scan direction but a Gaussian profile in the perpendicular direction.
  • diffractive beam-shaping optics are used to produce a rectangular beam that has a top-hat profile in both directions.
  • a homogenizer is used to create a uniform beam profile. By thus flattening the beam profile, wider process latitude, selective material removal, and sharper edge definition can be achieved.
  • One application of the systems and methods described herein is to eliminate problems that result after a sub-micron layer of photoresist is spin-coated onto a silicon wafer and baked. This process causes a thickened bead of photoresist at the wafer edge.
  • an imaging tool such as the ASML 193nm laser-based step-and-scan system
  • the resulting bead of photoresist on the edge of the wafer must be removed prior to the lithographic step.
  • the present invention provides a method and apparatus for removing this edge bead.
  • the fluid flows over the wafer during exposure and undercuts the photoresist layer, causing it to lose adhesion, lift at the edges, break off, and re-deposit onto the wafer. This results in the loss of otherwise useable die.
  • this bead may stick to robotic handlers and transfer photoresist flakes to other tools and other wafers, causing tool downtime and die loss.
  • very thick coatings of 2.5 microns can be removed without leaving any detectable residue.
  • a 0.6mm wide edge bead from the top of the wafer was removed in less than 5 seconds of process time with a laser beam shaped into an elongated ellipse with an aspect ratio of greater than 10:1 and the long axis in the circumferential direction. This permits the laser beam to make a sharp slice into the thick photoresist coating, leaving behind a narrow transition between cleaned and uncleaned photoresist. The characteristics of this transition can be varied by changing the shape and edge profile of the incident laser beam.
  • This photoresist edge bead removal application was carried out on a 200mm wafer in open atmosphere with 355 nm radiation from the 3rd harmonic of a 12W Nd:YAG (neodymium-doped yttrium aluminum garnet) laser pulsed at 17 kHz, a 0.1 x 1.5 mm Gaussian beam with 50% pulse-to-pulse overlap, a peak energy density of 350 mJ/cm 2 , and a wafer spin rate of 1270 rpm.
  • a 12W Nd:YAG neodymium-doped yttrium aluminum garnet
  • a tri-layer film is removed.
  • This is a film stack that can be designed to minimize the reflections occurring during lithography, thereby permitting higher imaging resolution to produce advanced semiconductor devices.
  • a tri-layer film, successfully removed by the present invention consists of an organic bottom anti-reflection coating (BARC), a middle silicon ARC layer, and a top layer of photoresist.
  • This tri-layer film stack removal application was carried out on a 300mm wafer in open atmosphere with 355 nm radiation from the 3rd harmonic of a 12W Nd:YAG laser pulsed at 15 kHz, a 0.1 x 1.5 mm Gaussian beam with 50% pulse-to-pulse overlap, a peak energy density of 400 mJ/cm 2 , and a wafer spin rate of 728 rpm. This process removes 1mm of width in 8 seconds.
  • the laser edge-bead removal method and apparatus described herein permits a much better defined and sharper transition zone between removed and unremoved films than can be achieved with prior art using chemicals, plasma, or mechanical methods. More importantly, the ability to create a more sharply defined sidewall in the post-cleaned film provides more silicon area for useable die and can therefore increase die yield on each wafer, which can result in major revenue increases for semiconductor manufacturers.
  • CMP residue consists of unwanted granular particulates from polishing compounds, typically containing cerium oxide, which is harder than silicon.
  • CMP residue is etched off using a four-step process, using first a mixture of ammonium hydroxide and hydrogen peroxide (SCI), then a mixture of sulfuric acid and hydrogen peroxide (SC2), followed by a de-ionized water rinse and a drying cycle. These mixtures are heated and are highly corrosive, so that special facilities are required, along with protective equipment for operators and special provisions for hazardous waste disposal.
  • SCI ammonium hydroxide and hydrogen peroxide
  • SC2 sulfuric acid and hydrogen peroxide
  • one example of a process for removing this polishing residue uses a 3rd harmonic YAG laser delivering an energy density of 1000 to 2400 mJ/cm 2 to thermally encapsulate the slurry residue on the wafer edge by melting and re-flowing the silicon surface.
  • This process typically takes 10 seconds to encapsulate 1mm of the bottom edge of a 300mm wafer. No corrosive chemicals, water, or drying cycles are required, and there is no hazardous waste as with the prior art methods cited above.
  • the beam is used at a lower energy density to permit the melting of only the areas of the wafer containing the residue while not melting the surrounding silicon surface.
  • a post-etch polymer (PEP) residue is removed from the wafer edges.
  • PEP post-etch polymer
  • a reactive ion dry etching step leaves a thin PEP residue as a by-product of the etching process. This residue is extremely difficult to remove due to its chemical nature, being a Teflon-like
  • chlorofluoropolymer When these residues are present on the bottom side of the wafer, they cause warping of the wafer on a vacuum chuck resulting in image distortion during lithography.
  • a 1mm annulus of these residues can be removed in 10 seconds on a 300mm wafer using a 12W, 3rd harmonic Nd:YAG laser with an energy density of 500 to 1200 mJ/cm 2 .
  • the process uses only air as the reactive gas mixture, operates at atmospheric pressure, and does not cause any heat build-up. Further, there is no detectable debris or by-products based on high magnification (150,000x) SEM analysis.
  • a copper film is removed from the edge of a 300mm silicon wafer. This application took 14 seconds per mm of copper removed, and was carried out in open atmosphere with 355 nm radiation from a 12W,
  • organic and inorganic particles that are generated during semiconductor processing are removed. Particles from the robotic end effectors, wafer cassettes, ion implantation, or other sources during processing may end up on the wafer edge and need to be removed.
  • laser energy densities used range from 150 to 2500 mJ/cm 2 .
  • 355 nm radiation, from the 3rd harmonic of a Nd:YAG laser, with a pulse width of 30 to 60 ns is typically used, other wavelengths and other lasers can also be employed.
  • One example is 532 nm radiation from the 2nd harmonic of a Nd:YAG laser, with 33% lower cost per watt.
  • shorter wavelengths such as 266 nm radiation from the 4th harmonic of a Nd:YAG laser, or 193 nm radiation from an ArF excimer laser can be used to remove more difficult materials such as metals and oxides.
  • CW continuous-wave
  • KrF excimer
  • Yb:YAG laser ytterbium-doped yttrium aluminum garnet

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning In General (AREA)

Abstract

A method and apparatus for processing substrate edges is disclosed that overcomes the limitations of conventional edge processing methods and systems used in semiconductor manufacturing. The edge processing method and apparatus of this invention includes a laser and fiber-optic system to direct laser radiation onto a rotating substrate supported by a chuck. A laser beam is transmitted into a bundle of optical fibers, and the fibers accurately and precisely direct the beam to remove or transform organic or inorganic films, film stacks, residues, or particles, in atmosphere, from the top edge, top bevel, apex, bottom bevel, and bottom edge of the substrate in a single process step. Reaction by-products are removed by means of an exhaust tube enveloping the reaction site. This invention permits precise control of an edge exclusion width, resulting in an increase in the number of usable die on a wafer. Wafer edge processing with this invention replaces existing methods that use large volumes of purified water and hazardous chemicals including solvents, acids, alkalis, and proprietary strippers.

Description

FIBER-OPTIC BEAM DELIVERY SYSTEM FOR WAFER EDGE
PROCESSING
RELATED APPLICATION(S)
This application claims priority to U.S. Application No. 13/034,202, filed
February 24, 2011 , which claims the benefit of U.S. Provisional Application No. 61/419,602, filed on December 3, 2010. The entire teachings of each of the above applications are incorporated herein by reference.
TECHNICAL FIELD
This patent application generally relates to wafer processing in the
manufacture of integrated circuits, and to a method and apparatus for removal of films from semiconductor substrates at various stages of processing. This disclosure specifically relates to a fiber-optic beam delivery system for the removal of organic, inorganic, and other semiconductor films, residues, and particles from the edges of silicon wafers or other substrates. These materials are removed in order to reduce the number of edge-related defects that cause loss of good die in integrated circuit manufacturing.
BACKGROUND OF THE INVENTION
In the fabrication of semiconductors, a number of different types of unwanted films, particles, and residues are formed or are left on the edges of silicon wafers. The relevant edges include the top (or front), top bevel, apex (or sidewall), bottom bevel, and bottom (or back) edges of wafers (hereinafter "wafer edges"). These edges are further specified in the International Standards published by Semiconductor
Equipment and Materials International (SEMI) of San Jose, California and referred to as the SEMI Ml-1109 and SEMI M73-0309 specification documents.
Many types of processes are used that result in such unwanted materials, including photoresist coating, plasma etching, dielectric and metal deposition, wet etching, grinding, and chemical mechanical polishing (CMP). These processes all result in various films, residues, and particles being deposited or left on the wafer edges.
The unwanted materials on wafer edges may be organic polymer films, such as photoresist edge beads, or residues, such as post-etch polymer films that remain on the edge of a wafer after a plasma etching operation. Other types of unwanted materials on wafer edges include metals, 'black silicon', silicon particles, and polishing residues.
During semiconductor manufacturing, wafers are transported from one tool to another in cassettes, and once loaded into a tool, are moved between process stations with robots handlers. As a consequence, films at the very edges of wafers become abraded, cracked, and broken. Cracked film fragments and particles contaminate vacuum chucks, robotic handlers, and cassettes, causing tool down time and die yield loss. Backside wafer contamination may distort or tilt the wafer during subsequent imaging, also causing yield loss.
Another source of wafer edge defects is the formation of a photoresist edge bead, caused when the wafers are spin coated prior to lithographic imaging.
Photoresist spin coating is repeated many times in the fabrication of an integrated circuit chip, depending on the number of lithographic levels used to make the device. A thickened bead of photoresist is formed at the outermost top edge. Excess photoresist also migrates to the apex, beveled edges, and bottom edge of the wafer. The photoresist bead on the edge is easily cracked by robots handling the wafers, resulting in flakes of photoresist re-depositing on good die, causing yield loss. Any photoresist remaining on the wafer's bottom edge also sticks to vacuum chucks, causing leveling and distortion problems in lithography, and creating more cracked flakes of photoresist. Two methods are currently used for the removal of photoresist edge bead films. The first method is called wafer edge exposure and development (WEE), a two-step process described below in a prior art patent. The second conventional method for photoresist removal from wafer edges is solvent spraying or solvent cleaning.
Another example is residue left behind from plasma etching. These etch residues are thin, tough films such as halogenated polymers. They are deposited mainly on the wafer's bevel, apex, and bottom edges. They cannot be removed by conventional wet chemical means, and are currently removed with a grinder, in combination with a fluid to make a slurry. This is a messy and particle-generating process that leaves many defects behind. If these etch residues are not removed, they will crack, break up and leave particles on otherwise usable portions of the wafer, causing die loss.
Another example of an edge-cleaning problem is the removal of copper films from wafer edges, left over from copper metallization and etching steps. Remaining copper films on the wafer edges will cause electrical shorting and arcing during subsequent wafer processing steps. Copper films left on wafer edges must removed by a complex etching operation, for example wet etching followed by high purity water rinsing and drying steps.
Another edge cleaning problem is the treatment of 'black silicon' needle-like structures that are the result of a reactive ion etch (RIE) process. These structures are currently removed by a wet-etch process using hydrofluoric acid, followed by high purity water rinsing and drying steps.
Another example of an edge cleaning problem is the generation of silicon particles from edge thinning operations, commonly used in 3D interconnect devices. Grinding with abrasive wheels leaves large ridges of silicon that interfere with wafer- to-wafer bonding operations. Currently, the only method of removing these ridges is by chemical etching with hydrofluoric acid and water rinsing.
Another example of an edge-cleaning problem is defects resulting from chemical-mechanical polishing (CMP) residue. CMP slurries flow around the apex of wafers and onto the bottom edges. These residues and particles migrate onto vacuum chucks, robotic handlers, and other wafer processing equipment, again leading to die loss.
Yet another example of an edge-cleaning problem arises from the use of silicon-containing photoresist such as in tri-layer film stacks, consisting of an organic polymer layer, a carbon-based layer, and a silicon-containing layer. The silicon content can typically be up to 50% of the polymer content, and conventional removal methods, such as wafer edge exposure and development (WEE) or solvent cleaning, will not completely remove these silicon based films. Removal of silicon layers is currently performed in an etching operation with a reactive ion etcher system using fluorinated gas mixtures, followed by considerable water rinsing and drying steps.
PROBLEMS WITH THE PRIOR ART
There are many film types to be removed from wafer edges, each requiring specific cleaning methods. Wafer edge processing in semiconductor manufacturing requires many different types of chemicals and tools, and is therefore complex and costly. Each of these conventional methods is described in more detail below with reference to specific prior art.
One example of a problem with the prior art relates to a method for dispensing liquid in a solvent-based edge cleaning process, as described in U.S. Patent 5,392, 989 and U.S. Patent Publication No. 2010/0051073 Al. This problem relates to the inability of the liquid solvent to control the geometry of the cleaned edge. The cleaning fluid is dispensed and spreads on the wafer surface, leaving a tapered edge of photoresist that may be several millimeters wide. The width of the area on the periphery of the wafer lost due to edge cleaning is called the 'edge exclusion'. The International Technology Roadmap for Semiconductors (ITRS) specifies the parameters for integrated circuit production into the future, and the semiconductor industry companies follow this path. The ITRS specifies a reduction of the edge exclusion from the current 3-5mm to below 1mm in the future. Solvent-based edge cleaning cannot currently meet this specification due to the inability to effectively control the solvents. This inability includes solvent splashing onto good die causing yield loss. Organic solvents, such as ethyl lactate or cellosolve acetate, cause environmental problems, raise safety concerns, and are costly to use. Finally, after solvent edge cleaning, a thin residue of photoresist is typically left behind, requiring further chemical processing and rinsing to remove.
Another example of a problem with the prior art relates to etching the edge of a wafer in a chamber with acids, as described in U.S. Patent 7,267,726 B2 and U.S. Patent 7,182,821 B2. Some of the acids used are hydrofluoric (HF), sulfuric (H2- S04), and nitric (HN03). These chemicals are hazardous to use and require the use of complex and costly equipment, raising environmental problems and safety concerns. As with the solvent method listed above, it is difficult to effectively control the width of the edge exclusion zone. This method is specifically used to remove metal films, but due to the non-uniformity of these films at the edge of the wafer, it is difficult to control the etch depth. This method is also used for removing Teflon-like particles, but is not effective for removing photoresists.
Another example of a problem with the prior art relates to photoresist edge bead exposure and development (WEE), as described in U.S. Patent 6,495,312 Bl, U.S. Patent 7,460,211 B2, and U.S. Patent Publication No. 2010/0285399 Al. This method requires two pieces of equipment to remove the photoresist edge bead: an exposure source, which is a high intensity lamp, and a separate wet developing system that uses an alkaline developer followed by a single or double rinse with high purity water. Since multiple pieces of equipment are used, this increases the time to complete the process, reducing throughput. The exposure step is often not adequate in removal of very thick edge beads; therefore a solvent cleaning process step is required. This method addresses photoresist edge beads, but there are many other types of more difficult films, such as post-etch polymers, that need to be removed, but cannot be addressed with WEE. Finally, since WEE only removes films from the top edge of the wafer, the apex and bottom edge must be cleaned by another method.
Another example of a problem with the prior art relates to a lithographic masking method, as described in U.S. Patent Publication No. 2007/0093067 Al and U.S. Patent 7,745,095 B2. This method is complex in that at least four individual steps are required to clean the wafer edge. Steps may include pre-cleaning, depositing and removing of organic coatings, lithographic exposure, developing, rinsing, and drying. This method requires multiple pieces of expensive equipment, consumes considerable volumes of solvent and water, and reduces throughput.
Another example of a problem with the prior art relates to a method using reactive plasma, as described in U.S. Patent 7,651,585 B2 and U.S. Patent
Publication No. 2010/0099265 Al. This method requires a complex plasma-etching tool using a vacuum chamber and reactive gases. The plasma is a non-uniform field of energetic ions surrounding the edge of the wafer; thus there is a gradient in the film being removed instead of a sharply defined edge. The width of the gradient adds to the edge exclusion zone, thereby reducing the usable die area. The complexity of the tool, as well as the vacuum chamber pump time, adds considerable cost and limits throughput.
Another example of a problem with the prior art relates to mechanical methods for cleaning wafer edges as described in U.S. Patent Publication No. 2010/0190416 Al and U.S. Patent Publication No. 2009/0029629 Al. Chemical mechanical polishing (CMP) uses an abrasive polishing pad and wet chemicals to polish the wafer. The by-product of this process is a polishing slurry residue left on the bottom and edges of the wafer. The current method for removing this slurry residue is frictional surface cleaning, as described in U.S. Patent Publication No.
2009/0044831 Al. This method leads to loss of usable die due to particle generation.
Another example of a problem with the prior art relates to the use of frozen carbon dioxide particles to clean wafer edges, as described in U.S. Patent 7,270,136 B2. The problems with this method are that the equipment used to perform this process is highly complex and expensive, and the impact of the frozen particles can cause damage to the substrate and to otherwise usable die.
Another example of a problem with the prior art relates to applying a flame to the edge of a wafer in the presence of reactive gasses including halogens and ozone, as described in U.S. Patent Publication No. 2008/0010845 Al. For example, a hydrogen-rich flame with oxygen and nitrogen trifluoride (NF3) produces hydrogen fluoride (HF) that etches the edge of the wafer. Nitrogen trifluoride is a highly toxic and expensive gas that is typically used to clean deposition chambers in
semiconductor manufacturing. Further, this method may require pre-heating the wafer to prevent condensation of the by-products onto the wafer, causing die loss. The apparatus described is very complex since the gas plumbing and safety requirements are extreme for the types of gases proposed for this edge cleaning method. Lastly, the method cannot remove films from all wafer edges in a single step and is not effective for photoresist removal.
Another example of a problem with the prior art relates to the use of a pressurized stream of a non-solvent medium, which may be a gas, to clean wafer edges, as described in U.S. Patent Publication No. 2004/0126923 Al. An expected problem with this method would be the scattering of particles onto the usable areas of the wafer, as well as the surrounding equipment. Additionally, this patent application claims that the medium must not be a solvent for the material being removed, which severely limits use on a variety of films due to its non-reactive nature.
Finally, another example of a problem with the prior art relates to the method of using an oblique-angled nozzle containing a laser beam combined with inert gas, as described in U.S. Patent 6,874,510 B2. One problem with this method is that the beam used to clean the wafer edge is inside the gas delivery nozzle, such that the gas cannot be independently directed to prevent material from falling onto the good die toward the center of the wafer. Such laser reactions create a cloud of debris. If the gas nozzle is not positioned to remove this cloud away from the center of the wafer, debris will re-deposit onto good die causing yield loss. This prior art uses a chamber, which further complicates the process of removing the debris, as it becomes confined in the chamber, and can re-deposit onto the wafer as well as build-up on the chamber walls. Another problem with this prior art method is that the gas used is inert, and therefore does not permit reaction with films on the wafer edges. Yet another problem with this prior art is that this method shows cleaning only on the top surface.
However, if a source of this type were redirected to the apex, the reaction by-products would be blown onto the good die at the center of the wafer, causing significant die loss. Still another problem with this prior art is that it requires the laser beam to impinge on the wafer edge at an oblique angle, introducing several problems including beam distortion and focusing issues at the wafer plane.
Due to the limitations of current edge cleaning methods, otherwise usable space on the periphery of a wafer is consumed. As an example, with a die size of 7.5mm x 7.5mm, increasing the usable space on a 300mm wafer by decreasing the edge exclusion width from 5.0mm to 0.6mm results in a potential gain of 64 die per wafer. Therefore, considerable effort is given to keep the edge cleaning area, called the edge exclusion, to a minimum. The ITRS, specifically in the area of edge exclusion, indicates the need to move from current 3 -5mm edge exclusion down to lmm or less in the next two years. Conventional wet edge bead cleaning methods are unable to control edge exclusion to this level due to the natural movement of liquids on smooth surfaces, such as a highly polished silicon wafer. It is recognized by those practiced in the art that a non-liquid means of edge cleaning is needed to meet the ITRS guidelines. Thus there is a need for an improved method and apparatus for cleaning the edges of substrates, such as silicon wafers, which overcomes the aforesaid limitations of the cited prior art. There is also a need for a method and apparatus that does not require the use of solvents, corrosive chemicals, or large volumes of highly purified water. There is also a need for a method and apparatus that prevents process byproducts from re-depositing onto usable die. There is a further need for an improved method and apparatus for edge cleaning that can address a wide variety of films, eliminating the need for different systems for each film type. There is also a need for an edge cleaning method and apparatus that can accurately clean all wafer edges (top, top bevel, apex, bottom bevel, and bottom) in a single tool, thereby reducing the cost and complexity of the cleaning process. Finally, there is a further need for an edge cleaning method and apparatus that can precisely control the width of the area being cleaned on the edge of a substrate to 1mm or less, in order to increase the die yield and meet future semiconductor manufacturing requirements.
SUMMARY
The present disclosure is directed to removal or transformation of unwanted films, particles, and residues on the edges of substrates, such as silicon wafers, by directing laser radiation, in the presence of air or other fluids, to the top edge, top bevel, apex, bottom bevel, and bottom edge (hereinafter 'wafer edges') portions of the substrate. By-products are removed by means of a vacuum exhaust.
More specifically, in a preferred embodiment, a single fiber feeds an output coupler mounted on a curved track, which continuously directs the beam to any or all wafer edges. In another embodiment, a beam distribution module is coupled to a laser and directs one or more laser beams into corresponding optical fibers. The optical fibers then feed corresponding output couplers. The output couplers can be permanently fixed in location or mounted to positioning devices to direct laser beams to two or more wafer edges, either sequentially or simultaneously.
One object herein is to direct a laser beam onto all edges of a rotating substrate in order to address a wide variety of materials in a single tool with a single dry process. A preferred embodiment processes materials on all wafer edges, in a single tool, by the use of a fiber optic beam delivery assembly to direct the laser beam to each edge.
Another object is to process circumferential bands on the edges of a wafer that are wider than the laser beam. In a preferred embodiment, an output coupler is moved along a curved track for this purpose. In another embodiment, multiple output couplers are mounted on positioning devices for this purpose. In yet another embodiment, an array output couplers direct beams to different points along the wafer edge for this purpose.
Another object herein is to provide an apparatus that can be integrated into an edge processing system that does not require the use of solvents, corrosive chemicals, or large volumes of highly purified water, and does not harm the environment. In accordance with a preferred embodiment; the system operates at atmospheric pressure and uses laser radiation in the presence of gases, such as air or benign gas mixtures, to remove materials from wafer edges. This system permits the use of a dry process, eliminating the need for significant volumes of high-purity water, concentrated acids, highly alkaline solutions, proprietary strippers, or solvents.
Another object herein is to prevent process by-products from re-depositing onto usable die. In accordance with a preferred embodiment a laser and fiber-optical beam delivery assembly in conjunction with a vacuum exhaust system removes unwanted films, particles, and residues from wafer edges without re-depositing byproducts onto otherwise usable die. A preferred embodiment uses a cylindrical exhaust tube, which envelops the substrate edge, with a longitudinal slot for the substrate and circumferential slots for the laser beam, and a vacuum exhaust source to create airflow to carry by-products and film residues away from the reaction zone, preventing any debris from landing elsewhere on the substrate.
Another object herein is to remove or transform a wide variety of materials on all of the wafer edges in a single tool with a single dry process, eliminating the need for different systems for each material type and thereby reducing the cost and complexity of current processing methods. In accordance with a preferred embodiment, a fiber-optical beam delivery assembly is provided that can be integrated into an edge processing apparatus to effectively remove or transform multiple film types, residues, and particles including, but not limited to, photoresist edge beads, photoresist residues, silicon-based polymer films, post-etch polymer residues, multilayer film stacks, polishing residues, particles, metal films, and dielectric films. This is achieved by the interaction of the laser radiation with the unwanted films, residues, or particles and surrounding gas on all wafer edges.
Another object is to precisely control the width of the area being processed on the edge of a substrate, in order to increase the number of usable die and meet future semiconductor manufacturing requirements. This is accomplished by the use of a high-accuracy fiber-optical beam delivery assembly directing a precisely defined laser beam to all of the wafer edges.
Further objects, technologies, and areas of applicability of the method and apparatus will become apparent from the detailed description provided hereinafter. It should be understood that the detailed description and specific examples, while indicating the preferred embodiment of the invention, are intended for the purposes of illustration only and are not intended to limit the scope of the invention, the scope of which is only being defined by the various claims herein.
BRIEF DESCRIPTION OF THE DRAWINGS
The foregoing will be apparent from the following more particular description of example embodiments of the invention, as illustrated in the accompanying drawings in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating embodiments of the present invention. The organization and manner of the structure and operation of preferred embodiments, together with the above and further objects and advantages thereof, may best be understood by reference to the following description taken in conjunction with the accompanying drawings wherein like reference numerals identify the elements in which:
FIG. 1 is a cross-sectional diagram of a wafer identifying the surfaces addressed by the laser beam.
FIG. 2 is a schematic drawing of a fiber-optic head that focuses the beam onto a wafer.
FIG. 3a is a side-view schematic drawing showing three mechanisms that move three fiber-optic heads to deliver laser beams across the top, apex, and bottom of a rotating wafer. FIGS. 3b-d are cross-sectional views of the beam scanning the edges of a wafer.
FIG. 4a is a side-view schematic drawing showing five mechanisms that move five fiber-optic heads to deliver laser beams across the top, top bevel, apex, bottom bevel, and bottom of a rotating wafer.
FIGS. 4b-f are cross-sectional views of the beam scanning the edges of a wafer.
FIG. 5a is a side-view schematic showing a single fiber-optic head mounted on a track to deliver a laser beam to all edges of a rotating wafer.
FIG. 5b is a cross-sectional view of the beam scanning all edges of a wafer.
FIG. 6a is a side-view schematic drawing showing multiple fixed fiber-optic heads delivering laser beams to the edges of a rotating wafer.
FIG. 6b is a cross-sectional view of multiple fixed beams being directed to multiple edges of a wafer.
FIG. 6c is a side-view schematic drawing showing multiple exhaust nozzles used with the configuration shown in FIG. 6a.
FIG. 7a is an isometric view schematic drawing showing an exhaust tube used with the configurations shown in FIGS. 2a, 3a, 4a, and 5a.
FIG. 7b is a top view schematic drawing showing an exhaust tube used with the configurations shown in FIGS. 2a, 3a, 4a, and 5a.
FIG. 7c is an isometric view showing the exhaust system configuration with the exhaust tube retracted for wafer loading.
FIG. 7d is a top view showing the exhaust system configuration with the exhaust tube retracted for wafer loading or unloading.
FIG. 8a is a side view of an optional gas injection system of the edge processing system.
FIG. 8b is an isometric view of a second configuration of an optional gas injection system of the edge processing system.
FIG. 8c is an isometric view of a third configuration of an optional gas injection system of the edge processing system.
FIG. 9 is a side view of the fiber-optic beam delivery assembly integrated into a wafer processing system. FIG. 10a shows an example of the usable die lost with prior art edge exclusion.
FIG. 10b shows an example of the usable die lost with the edge processing method and apparatus disclosed herein.
DETAILED DESCRIPTION OF THE ILLUSTRATED EMBODIMENT
A description of example embodiments of the invention follows.
While the invention as recited in the claims presented elsewhere in this document may be susceptible to embodiment in different forms, there is shown in the drawings, and will be described herein in detail, one or more specific embodiments with the understanding that the present disclosure is to be considered but one exemplification of the principles of the invention, and there is no intent to limit the invention to that as illustrated and described herein. Therefore, any references to "the present invention" and "the disclosure" throughout this document are to be interpreted only as a reference to one particular, non-limiting example embodiment of but one aspect of the many inventions described and disclosed in this document.
The disclosure describes an apparatus and methods(s) for removing or transforming unwanted films, residues, and particles from the top, top bevel, apex, bottom bevel, and bottom (hereinafter 'wafer edges') of semiconductor substrates.
The present invention provides a fiber-optic delivery assembly used for removing or transforming unwanted organic or inorganic films, particles, or residues from all of the wafer edges. The wafer is mounted on a rotary chuck, allowing the entire circumference of the wafer to be cleaned.
FIG. 1 identifies the edges of a wafer 30, which are addressed by the present invention and are referred to in the specification that follows. The surfaces that are addressed are the top 31, top bevel 32, apex 33, bottom bevel 34, and bottom 35.
FIG. 2 shows a fiber-optic head 24 that focuses a laser beam 12 from an incoming fiber 23 onto the edge of wafer 30. It includes an output coupler 27 at the end of the fiber 23 and a focusing lens 28, which contains one or more elements, mounted between the output coupler 27 and the edge of wafer 30.
The embodiment shown in FIG. 3a utilizes a laser source 10 that emits a beam 11, which enters input coupler 20 of a fiber-optic cable 21. The fiber-optic cable 21 enters a fiber-optic beam delivery assembly 81. The fiber-optic beam delivery assembly 81 contains a distribution module 22. In one embodiment the distribution module 22 splits the beam 11 into three separate beams, which pass through three fiber-optic cables 23 and three fiber-optic heads 24, mounted to three positioning devices 25. In another embodiment the distribution module 22 switches the beam between three separate fiber-optic cables 23 and fiber-optic heads 24, mounted to three positioning devices 25. The positioning devices 25 accurately locate the fiberoptic heads 24, scanning the beams 12, 14, and 16 across all edges of wafer 30. The wafer 30 is placed on a chuck 60, rotated by a servomotor assembly 61. Reaction by- products are removed by means of an exhaust tube 40. FIG. 3b shows beam 12 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the top bevel 32 and top 31 of wafer 30, as shown in FIG. 1. FIG. 3c shows beam 14 scanning wafer 30 axially downwards and removing film, residue, or particles 36 from the top bevel 32, apex 33 and bottom bevel 34 of wafer 30, as shown in FIG. 1. FIG. 3d shows beam 16 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the bottom bevel 34 and bottom 35 of wafer 30, as shown in FIG. 1.
The embodiment shown in FIG. 4a utilizes a laser source 10 that emits a beam 11, which enters input coupler 20 of a fiber-optic cable 21. The fiber-optic cable 21 enters a fiber-optic beam delivery assembly 81. The fiber-optic beam delivery assembly 81 contains a distribution module 22. In one embodiment the distribution module 22 splits the beam 11 into five separate beams, which pass through five fiberoptic cables 23 and five fiber-optic heads 24, mounted to five positioning devices 25. In another embodiment the distribution module 22 switches the beam between five separate fiber-optic cables 23 and five fiber-optic heads 24, mounted to five positioning devices 25. The positioning devices 25 accurately locate the fiber-optic heads 24, scanning the beams 12, 13, 14, 15, and 16 across all edges of wafer 30. The wafer 30 is placed on a chuck 60, rotated by a servomotor assembly 61. Reaction byproducts are removed by means of an exhaust tube 40. FIG. 4b shows beam 12 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the top bevel 32 and top 31 of wafer 30, as shown in FIG. 1. FIG. 4c shows beam 13 scanning wafer 30 diagonally and removing film, residue, or particles 36 from the top 31, top bevel 32, and apex 33 of wafer 30, as shown in FIG. 1. FIG. 4d shows beam 14 scanning wafer 30 axially downwards and removing film, residue, or particles 36 from the top bevel 32, apex 33 and bottom bevel 34 of wafer 30, as shown in FIG. 1. FIG. 4e shows beam 15 scanning wafer 30 diagonally and removing film, residue, or particles 36 from the bottom 35, bottom bevel 34, and apex 33 of wafer 30, as shown in FIG. 1. FIG. 4f shows beam 16 scanning wafer 30 radially inwards and removing film, residue, or particles 36 from the bottom bevel 34 and bottom 35 of wafer 30, as shown in FIG. 1.
The preferred embodiment shown in FIG. 5a utilizes a laser source 10 that emits a beam 11, which enters input coupler 20 of a fiber-optic cable 23. The fiberoptic cable 23 enters a fiber-optic beam delivery assembly 81 where it attaches to a fiber-optic head 24. The fiber-optic head 24 slides along a track 26. In order to provide the same beam profile at all points around the edge of wafer 30, the track 26 is shaped in such a way as to position the fiber-optic head 24 exactly the same distance from, and orthogonal to the edge of wafer 30 as it travels around track 26, scanning beam 17 around the edge of wafer 30. The wafer 30 is placed on a chuck 60 and is rotated by a servomotor assembly 61. Reaction by-products are removed by means of an exhaust tube 40. FIG. 5b shows beam 17 being scanned around the edge of wafer 30 from the top to the bottom and removing film, residue or particles 36.
The embodiment shown in FIG. 6a utilizes a laser source 10 that emits a beam
11, which enters input coupler 20 of a fiber-optic cable 21. The fiber-optic cable 21 enters a fiber-optic beam delivery assembly 81. The fiber-optic beam delivery assembly 81 contains a distribution module 22. In one embodiment the distribution module 22 splits the beam 11 into multiple separate beams, which pass through corresponding fiber-optic cables 23 and fiber-optic heads 24, mounted in fixed positions around the edges of wafer 30. In another embodiment the distribution module 22 switches the beam 11 into multiple separate beams, which pass through corresponding fiber-optic cables 23 and fiber-optic heads 24, mounted in fixed positions around the edges of wafer 30. Each fiber-optic head emits a beam 17 addressing a portion of the edge of wafer 30. Wafer 30 is placed on a chuck 60 and is rotated by a servomotor assembly 61. Reaction by-products are removed by means of an exhaust tube 40. FIG. 6b shows beams 17 being directed to multiple edges of wafer 30 and removing a film, a residue or particles 36.
FIG. 6c shows an alternative exhaust system for the fiber-optic configuration of FIG. 6a. In this configuration, multiple exhaust tubes 50 are used to draw the by- products 55 away from the edge of the wafer 30, and into an external exhaust system.
An exhaust system shown in FIG. 7a and 7b carries reaction by-products 45 away from the reaction site at the wafer 30. The servomotor assembly 61 rotates wafer 30 through a slot 41 in the side of exhaust tube 40. Laser beams 12, 13, 14, 15, and 16 are directed through slot 42 to all edges of wafer 20. An external exhaust source, draws ambient gas through the intake end 43 of the exhaust tube 40, thus carrying away reaction by-products 45. In another embodiment, reversing the rotation to oppose the direction of the exhaust flow may increase the relative flow velocity at the wafer surface thereby improving the performance of the exhaust system.
FIG. 7c and 7d show the same exhaust system as shown in FIG. 7a and 7b, with the exhaust tube 40 in a retracted position to allow a robot to load or unload the wafer 30.
The optional gas injection systems shown in FIGS. 8a, 8b, and 8c may enhance the reaction between the laser beam and the material being processed. Gases are fed from an external source into the system. Such injected gas may be beneficial in processing the unwanted films, residues, or particles. In a preferred embodiment, the injected gas is an oxidizing gas. Reducing gases such as hydrogen are also effective in removing photoresist. In another embodiment, the injection of hydrogen may also be used to prevent the formation of an oxide layer. The gas injection system may also enhance the removal of unwanted by-products.
In one embodiment, shown in FIG. 8a, the gas streams 95 and/or 96 are produced by a top injector 90 and/or a bottom injector 91 that are fed by gas lines 99. To optimize the reaction, the angle of the injectors 90 and/or 91 can be adjusted with respect to the surface of wafer 30, which is mounted on vacuum chuck 60 and is rotated by servomotor assembly 61. The laser beams 12, 13, 14, 15, and 16 process the edges of wafer 30 while gas streams 95 and/or 96 are flowing.
In another embodiment of an optional gas injection system, shown in FIG. 8b, the gas stream 97 is produced by an axial injector 92 that is fed by a gas line 99 into the intake end 43 of the exhaust tube 40. This configuration adds gas to the environment surrounding the reaction site. The laser beams 12, 13, 14, 15, and 16 process the edges of wafer 30 while gas stream 97 is flowing.
In a third embodiment, shown in FIG. 8c, the gas stream 98 is produced by an injector 93, attached to an orifice in the side of the exhaust tube 40, and fed by gas line 99 such that the gas flow is aimed at the reaction site on the wafer 30. The laser beams 12, 13, 14, 15, and 16 process the edges of wafer 30 while gas stream 98 is flowing.
Other configurations combining the above injection methods and/or exhaust baffles may be used to enhance the reaction.
FIG. 9 shows a fiber-optic beam delivery assembly 81 and all of its supporting components integrated into an embodiment of a wafer edge processing system 80. Robot 72 gets wafer 30 from FOUP (Front Opening Unified Pod) 71, which is located on top of load port module 70, and places wafer 30 onto vacuum chuck 60. Exhaust tube 40 is then slid into place around wafer 30. Laser 10 emits beam 11, which enters fiber-optic cable 21 through input coupler 20, and then enters fiber-optic beam delivery assembly 81. As wafer 30 is rotated by the servomotor assembly 61, fiberoptic beam delivery assembly 81 directs the beam to one or more wafer edges, as shown in FIGS 3b-3d, FIGS 4b-4f, FIG 5b, and FIG 6b. Exhaust tube 40 carries by-products 45 away from the reaction site. After wafer 30 has been processed, exhaust tube 40 slides away from the wafer 30 and robot 72 removes wafer 30 from vacuum chuck 60 and returns it to FOUP 71.
The preferred embodiment is an all-dry process with no waste-treatable byproducts. Edge processing or edge film removal from the top bevel, apex, bottom bevel, and bottom edges of the wafer can also be accomplished during the same process cycle. This method and apparatus is capable of processing 0 to 25mm from the bottom edge, to an accuracy of +/-0.1mm, in order to remove the photoresist that has migrated to the bottom side of the wafer. Likewise, the method and apparatus is capable of processing 0 to 25mm from the top edge, to an accuracy of +/-0.1mm. Cleaning the apex is also important since this edge of the wafer contacts the sides of wafer cassettes and robotic handlers used during transport in a manufacturing line. Any breaks or cracks in an un-removed edge bead can then create particles that may get transferred to other cassettes and other wafers, causing defects and loss of useable die.
Current solvent dispense methods that are used to remove the photoresist edge bead are difficult to control. Using the system described herein, the edge exclusion width can be reduced from the current 3-5mm to less than 1mm. FIG. 10a shows an example of a wafer 30 with a 5.0mm edge exclusion width. Any die that are intersected by the edge exclusion region are lost. In this example there are eighty lost die 38. Using an embodiment described herein, the edge exclusion width can be reduced to 0.6mm, limited only by the accuracy of the beam placement, which is better than 0.1mm. This results in only sixteen lost die 39, as shown in FIG. 10b.
In preferred embodiments the laser is a Nd:YAG laser and is configured to emit pulsed radiation at a wavelength of 355nm, with a range of pulse repetition rates from 10,000Hz to 100,000Hz, which result in variable power levels and pulse energies. Other YAG lasers can be configured to emit radiation at other repetition rates in a range from 1,000Hz to 500,000Hz, and other wavelengths such as 213nm,
266nm, 532nm, and 1064nm. These characteristics may be beneficial to provide higher energy absorption by the material being processed, which leads to more complete reactions, higher throughput, and may enable processes that are ineffective at 355nm. Other wavelengths, such as 193nm, 248nm, or 308nm produced by an excimer laser, may be useful for certain applications. Still other applications may benefit from the use of a continuous wave (CW) laser such as a 364nm argon ion laser.
Beam shaping optics may be used to alter the profile of the laser beam. In one embodiment, diffractive beam-shaping optics are used to produce a beam that has a top-hat profile in the scan direction but a Gaussian profile in the perpendicular direction. In another embodiment, diffractive beam-shaping optics are used to produce a rectangular beam that has a top-hat profile in both directions. In yet another embodiment, a homogenizer is used to create a uniform beam profile. By thus flattening the beam profile, wider process latitude, selective material removal, and sharper edge definition can be achieved.
One application of the systems and methods described herein is to eliminate problems that result after a sub-micron layer of photoresist is spin-coated onto a silicon wafer and baked. This process causes a thickened bead of photoresist at the wafer edge. To prevent peeling and de-lamination by the immersion fluid used in an imaging tool, such as the ASML 193nm laser-based step-and-scan system, the resulting bead of photoresist on the edge of the wafer must be removed prior to the lithographic step. The present invention provides a method and apparatus for removing this edge bead. If the photoresist bead is not removed, the fluid flows over the wafer during exposure and undercuts the photoresist layer, causing it to lose adhesion, lift at the edges, break off, and re-deposit onto the wafer. This results in the loss of otherwise useable die. In addition, if this bead is not removed, it may stick to robotic handlers and transfer photoresist flakes to other tools and other wafers, causing tool downtime and die loss.
In an example of another application of photoresist edge-bead removal using the techniques herein, very thick coatings of 2.5 microns, for example, can be removed without leaving any detectable residue. In this application, a 0.6mm wide edge bead from the top of the wafer was removed in less than 5 seconds of process time with a laser beam shaped into an elongated ellipse with an aspect ratio of greater than 10:1 and the long axis in the circumferential direction. This permits the laser beam to make a sharp slice into the thick photoresist coating, leaving behind a narrow transition between cleaned and uncleaned photoresist. The characteristics of this transition can be varied by changing the shape and edge profile of the incident laser beam. This photoresist edge bead removal application was carried out on a 200mm wafer in open atmosphere with 355 nm radiation from the 3rd harmonic of a 12W Nd:YAG (neodymium-doped yttrium aluminum garnet) laser pulsed at 17 kHz, a 0.1 x 1.5 mm Gaussian beam with 50% pulse-to-pulse overlap, a peak energy density of 350 mJ/cm2, and a wafer spin rate of 1270 rpm.
In an example of another application, a tri-layer film is removed. This is a film stack that can be designed to minimize the reflections occurring during lithography, thereby permitting higher imaging resolution to produce advanced semiconductor devices. One example is a tri-layer film, successfully removed by the present invention, consists of an organic bottom anti-reflection coating (BARC), a middle silicon ARC layer, and a top layer of photoresist. This tri-layer film stack removal application was carried out on a 300mm wafer in open atmosphere with 355 nm radiation from the 3rd harmonic of a 12W Nd:YAG laser pulsed at 15 kHz, a 0.1 x 1.5 mm Gaussian beam with 50% pulse-to-pulse overlap, a peak energy density of 400 mJ/cm2, and a wafer spin rate of 728 rpm. This process removes 1mm of width in 8 seconds.
The laser edge-bead removal method and apparatus described herein permits a much better defined and sharper transition zone between removed and unremoved films than can be achieved with prior art using chemicals, plasma, or mechanical methods. More importantly, the ability to create a more sharply defined sidewall in the post-cleaned film provides more silicon area for useable die and can therefore increase die yield on each wafer, which can result in major revenue increases for semiconductor manufacturers.
In another application, a residue of polishing compound slurry is thermally encapsulated. Such residue is the result of a process called chemical mechanical polishing (CMP), used in multiple steps in the fabrication of semiconductor devices. CMP residue consists of unwanted granular particulates from polishing compounds, typically containing cerium oxide, which is harder than silicon. In the prior art, such residue is etched off using a four-step process, using first a mixture of ammonium hydroxide and hydrogen peroxide (SCI), then a mixture of sulfuric acid and hydrogen peroxide (SC2), followed by a de-ionized water rinse and a drying cycle. These mixtures are heated and are highly corrosive, so that special facilities are required, along with protective equipment for operators and special provisions for hazardous waste disposal.
In accordance with the embodiments described herein, one example of a process for removing this polishing residue uses a 3rd harmonic YAG laser delivering an energy density of 1000 to 2400 mJ/cm2 to thermally encapsulate the slurry residue on the wafer edge by melting and re-flowing the silicon surface. This process typically takes 10 seconds to encapsulate 1mm of the bottom edge of a 300mm wafer. No corrosive chemicals, water, or drying cycles are required, and there is no hazardous waste as with the prior art methods cited above. In another example the beam is used at a lower energy density to permit the melting of only the areas of the wafer containing the residue while not melting the surrounding silicon surface. In an example of another application, a post-etch polymer (PEP) residue is removed from the wafer edges. In this example, a reactive ion dry etching step leaves a thin PEP residue as a by-product of the etching process. This residue is extremely difficult to remove due to its chemical nature, being a Teflon-like
chlorofluoropolymer. When these residues are present on the bottom side of the wafer, they cause warping of the wafer on a vacuum chuck resulting in image distortion during lithography. In an embodiment, a 1mm annulus of these residues can be removed in 10 seconds on a 300mm wafer using a 12W, 3rd harmonic Nd:YAG laser with an energy density of 500 to 1200 mJ/cm2. The process uses only air as the reactive gas mixture, operates at atmospheric pressure, and does not cause any heat build-up. Further, there is no detectable debris or by-products based on high magnification (150,000x) SEM analysis.
In an example of another application, a copper film is removed from the edge of a 300mm silicon wafer. This application took 14 seconds per mm of copper removed, and was carried out in open atmosphere with 355 nm radiation from a 12W,
3rd harmonic Nd:YAG laser pulsed at 22 kHz, a 0.25 x 0.17 mm Gaussian beam with 75% pulse-to-pulse overlap, a peak energy density of 1000 mJ/cm2, and a wafer spin rate of 60 rpm.
In another application, organic and inorganic particles that are generated during semiconductor processing are removed. Particles from the robotic end effectors, wafer cassettes, ion implantation, or other sources during processing may end up on the wafer edge and need to be removed.
For a wide range of applications, laser energy densities used range from 150 to 2500 mJ/cm2. Although 355 nm radiation, from the 3rd harmonic of a Nd:YAG laser, with a pulse width of 30 to 60 ns, is typically used, other wavelengths and other lasers can also be employed. One example is 532 nm radiation from the 2nd harmonic of a Nd:YAG laser, with 33% lower cost per watt. Alternatively, shorter wavelengths such as 266 nm radiation from the 4th harmonic of a Nd:YAG laser, or 193 nm radiation from an ArF excimer laser can be used to remove more difficult materials such as metals and oxides. Other examples of lasers that can be employed in the present invention include a continuous-wave (CW) argon-ion laser, a pulsed KrF excimer laser, and a 1 to 6 ns pulse-width Yb:YAG laser (ytterbium-doped yttrium aluminum garnet). For a given energy density, a shorter pulse width will result in a higher local, instantaneous substrate temperature. Since this higher temperature increases reactivity, it is advantageous in some applications to use a very short pulse- width laser. In light of the above disclosure, it will now be evident to those skilled in the art that a very wide variety of pulsed and CW, gas and solid-state lasers may be used.
The teachings of all patents, published applications and references cited herein are incorporated by reference in their entirety.
While this invention has been particularly shown and described with references to example embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the scope of the invention encompassed by the appended claims. While the preferred embodiment(s) of the present invention(s) are now shown and described, it is envisioned that those skilled in the art may devise various
modifications without departing from the spirit and scope of the appended claims, and it is therefore only the claims that define the legal scope of this invention.

Claims

CLAIMS The invention is claimed as follows:
1. An apparatus for processing edges of a substrate, comprising:
a laser for providing a laser beam;
one or more fiber output couplers for shaping and focusing the laser beam; an apparatus for rotating the substrate; and
a fiber optic assembly for directing the laser beam to two or more of a top, apex, bottom, or bevel edges of the substrate.
2. The apparatus of Claim 1 wherein a wavelength of said laser is between
190nm and 770nm.
3. The apparatus of Claim 1 additionally comprising
a beam splitter arranged to receive the laser beam from the laser and feed two or more optical fibers.
4. The apparatus of Claim 3 wherein two or more output couplers direct laser beams to two or more of the edges of the rotating substrate simultaneously.
5. The apparatus of Claim 1 additionally comprising a beam- switching device to sequentially direct the laser beam to two or more optical fibers.
6. The apparatus of Claim 5 wherein two or more output couplers direct laser beams to two or more of the edges of the substrate sequentially.
7. The apparatus of Claim 4 wherein the output couplers are fixed in position.
8. The apparatus of Claim 4 wherein the output couplers are movable.
9. The apparatus of Claim 8 wherein a single output coupler is movable along a track parallel to and equidistant from two or more edges of the substrate.
10. The apparatus of Claim 4 wherein the output couplers contain lenses.
1 1. The apparatus of Claim 10 wherein the lenses are focusing lenses.
12. The apparatus of Claim 10 wherein the lenses are beam shaping lenses.
13. The apparatus of Claim 12 wherein the beam shaping lenses contain
anamorphic refracting elements.
14. The apparatus of Claim 12 wherein the beam shaping lenses contain
diffractive optical elements.
15. The apparatus of Claim 1 wherein the fiber optic assembly is arranged such that the two or more substrate edges are exposed at the same time.
16. The apparatus of Claim 15 additionally comprising:
an exhaust assembly, positioned to remove reaction by-products, axially shiftable to two or more positions.
17. The apparatus of Claim 15 additionally comprising:
an injector assembly, positioned to direct a flow of a fluid to the substrate edges being exposed to the laser radiation.
18. The apparatus of Claim 1 wherein said apparatus is part of a stand-alone
semiconductor wafer edge-processing system.
19. The apparatus of Claim 1 wherein said fiber-optic assembly is integrated into a module for use in a semiconductor wafer edge-processing system.
20. A method for processing edges of a substrate comprising: delivering a beam of laser radiation via a fiber optic to two or more of a top, apex, bottom, and bevel edges of the substrate;
moving the fiber-optic means and the substrate relative to one another; delivering a flow of a fluid to the reaction region of the substrate edges while the beam is being delivered to the edges of the substrate; and removing reaction by-products by an exhaust flow.
PCT/US2011/062832 2010-12-03 2011-12-01 Fiber-optic beam delivery system for wafer edge processing WO2012075249A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013542169A JP2014504004A (en) 2010-12-03 2011-12-01 Fiber optic beam delivery system for wafer edge processing

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US41960210P 2010-12-03 2010-12-03
US61/419,602 2010-12-03
US13/034,202 US8415587B2 (en) 2010-12-03 2011-02-24 Fiber-optic beam delivery system for wafer edge processing
US13/034,202 2011-02-24

Publications (1)

Publication Number Publication Date
WO2012075249A1 true WO2012075249A1 (en) 2012-06-07

Family

ID=44141764

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/062832 WO2012075249A1 (en) 2010-12-03 2011-12-01 Fiber-optic beam delivery system for wafer edge processing

Country Status (3)

Country Link
US (4) US20110147350A1 (en)
JP (1) JP2014504004A (en)
WO (1) WO2012075249A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101503415B1 (en) 2013-11-27 2015-03-18 한국과학기술원 Apparatus for laser rounding and methods of the same
US11972939B2 (en) 2019-06-17 2024-04-30 Semes Co., Ltd. Method and apparatus for treating substrate
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011003686A1 (en) * 2011-02-07 2012-08-09 Trumpf Laser- Und Systemtechnik Gmbh Laser processing device
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9196471B1 (en) 2012-06-01 2015-11-24 Yen Fui Choo Scanner for wafers, method for using the scanner, and components of the scanner
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10286487B2 (en) 2013-02-28 2019-05-14 Ipg Photonics Corporation Laser system and method for processing sapphire
CN105163897A (en) * 2013-03-15 2015-12-16 伊雷克托科学工业股份有限公司 Coordination of beam angle and workpiece movement for taper control
US9245736B2 (en) * 2013-03-15 2016-01-26 Semiconductor Components Industries, Llc Process of forming a semiconductor wafer
US9809898B2 (en) * 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
TWI509698B (en) 2013-12-25 2015-11-21 Ind Tech Res Inst Sample holder for annealing apparatus and electrically assisted annealing apparatus using the same
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US10343237B2 (en) 2014-02-28 2019-07-09 Ipg Photonics Corporation System and method for laser beveling and/or polishing
EP3110592B1 (en) 2014-02-28 2020-01-15 IPG Photonics Corporation Multple-laser distinct wavelengths and pulse durations processing
US9764427B2 (en) 2014-02-28 2017-09-19 Ipg Photonics Corporation Multi-laser system and method for cutting and post-cut processing hard dielectric materials
WO2015133689A1 (en) * 2014-03-06 2015-09-11 주식회사 아이엠티 Device and method for cleaning backside or edge of wafer
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9908201B2 (en) * 2014-04-22 2018-03-06 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for edge bead removal
US9698041B2 (en) * 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
JP6607873B2 (en) * 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド Apparatus, system, and method for substrate temperature control using embedded fiber optics and epoxy light diffusers
JP6608923B2 (en) * 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド TEMPERATURE CONTROL DEVICE INCLUDING HEATING WITH OPTICAL FIBER PATHED TO GROOVE, SUBSTRATE TEMPERATURE CONTROL SYSTEM, ELECTRONIC DEVICE PROCESSING SYSTEM, AND PROCESSING METHOD
WO2016033494A1 (en) 2014-08-28 2016-03-03 Ipg Photonics Corporation System and method for laser beveling and/or polishing
CN114603249A (en) 2014-08-28 2022-06-10 Ipg光子公司 Multi-laser system and method for cutting and post-cutting machining of hard dielectric materials
KR102305505B1 (en) * 2014-09-29 2021-09-24 삼성전자주식회사 Initiator and Method for debonding of Wafer Supporting System
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6314082B2 (en) * 2014-12-18 2018-04-18 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9735035B1 (en) 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9966292B2 (en) * 2016-07-12 2018-05-08 Globalfoundries Inc. Centering fixture for electrostatic chuck system
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11069521B2 (en) 2017-02-06 2021-07-20 Planar Semiconductor, Inc. Subnanometer-level light-based substrate cleaning mechanism
SG11201906903PA (en) 2017-02-06 2019-08-27 Planar Semiconductor Inc Sub-nanometer-level substrate cleaning mechanism
TWI770115B (en) 2017-02-06 2022-07-11 新加坡商平面半導體公司 Removal of process effluents
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10947148B2 (en) * 2017-08-07 2021-03-16 Seagate Technology Llc Laser beam cutting/shaping a glass substrate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7343747B2 (en) * 2017-12-11 2023-09-13 株式会社Ihi検査計測 laser cleaning equipment
CN108039316B (en) * 2017-12-21 2020-12-18 上海华力微电子有限公司 Method for removing photoresist on wafer side
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
CN108655585A (en) * 2018-05-14 2018-10-16 苏州米氪激光技术服务有限公司 A kind of laser cutting device with dust removing effects
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
CN108655566A (en) * 2018-06-04 2018-10-16 苏州莱铯科技有限公司 A kind of laser-beam welding machine convenient for adjusting
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP2020013823A (en) * 2018-07-13 2020-01-23 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11897056B2 (en) 2018-10-30 2024-02-13 Hamamatsu Photonics K.K. Laser processing device and laser processing method
JP7120904B2 (en) * 2018-10-30 2022-08-17 浜松ホトニクス株式会社 LASER PROCESSING APPARATUS AND LASER PROCESSING METHOD
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR101976441B1 (en) * 2018-11-27 2019-08-28 주식회사 21세기 A Method for Producing Superfine Blade Edge Using Femtosecond Laser
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
KR20200075531A (en) * 2018-12-18 2020-06-26 삼성전자주식회사 Substrate treating apparatus
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
WO2020202975A1 (en) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 Laser processing device and laser processing method
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200127078A (en) * 2019-04-30 2020-11-10 세메스 주식회사 Method, apparatus and system for treating substrate
KR102245275B1 (en) * 2019-04-30 2021-04-27 세메스 주식회사 Apparatus for treating substrate and method for treating substrate
KR102270780B1 (en) * 2019-04-30 2021-06-30 세메스 주식회사 Film removing method, substrate processing method, and substrate processing apparatus
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (en) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7434120B2 (en) * 2020-09-16 2024-02-20 株式会社東芝 optical processing equipment
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635844B2 (en) * 2002-01-03 2003-10-21 United Microelectronics Corp. Apparatus for on-line cleaning a wafer chuck with laser
US6676878B2 (en) * 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
US6881687B1 (en) * 1999-10-29 2005-04-19 Paul P. Castrucci Method for laser cleaning of a substrate surface using a solid sacrificial film
US7170912B2 (en) * 2004-10-08 2007-01-30 Hon Hai Precision Industry Co., Ltd. Laser drilling system and method for flexible printed circuit board
US20080010845A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61251136A (en) * 1985-04-30 1986-11-08 Toshiba Mach Co Ltd Laser beam lithography and its equipment
CA2073082C (en) * 1991-07-08 1997-09-09 Takanori Iwamatsu Fractionally spaced cross-polarization interference canceller
US5392989A (en) 1993-01-13 1995-02-28 Semiconductor Systems, Inc. Nozzle assembly for dispensing liquid
US5302547A (en) * 1993-02-08 1994-04-12 General Electric Company Systems for patterning dielectrics by laser ablation
US6156030A (en) * 1997-06-04 2000-12-05 Y-Beam Technologies, Inc. Method and apparatus for high precision variable rate material removal and modification
JP3345590B2 (en) * 1998-07-16 2002-11-18 株式会社アドバンテスト Substrate processing method and apparatus
JP2000186000A (en) 1998-12-22 2000-07-04 Speedfam-Ipec Co Ltd Working of silicon wafer and apparatus therefor
US6768930B2 (en) * 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6497701B2 (en) * 1999-04-30 2002-12-24 Visx, Incorporated Method and system for ablating surfaces with partially overlapping craters having consistent curvature
KR100436361B1 (en) * 2000-12-15 2004-06-18 (주)케이.씨.텍 Apparatus for cleaning the edges of wafers
US6495312B1 (en) * 2001-02-01 2002-12-17 Lsi Logic Corporation Method and apparatus for removing photoresist edge beads from thin film substrates
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
JP2004006672A (en) * 2002-04-19 2004-01-08 Dainippon Screen Mfg Co Ltd Substrate processing method and apparatus
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20070062647A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Method and apparatus for isolative substrate edge area processing
US8192555B2 (en) * 2002-12-31 2012-06-05 Micron Technology, Inc. Non-chemical, non-optical edge bead removal process
US6874510B2 (en) 2003-02-07 2005-04-05 Lsi Logic Corporation Method to use a laser to perform the edge clean operation on a semiconductor wafer
US7267726B2 (en) * 2003-04-22 2007-09-11 Texas Instruments Incorporated Method and apparatus for removing polymer residue from semiconductor wafer edge and back side
US20050172430A1 (en) * 2003-10-28 2005-08-11 Joseph Yudovsky Wafer edge cleaning
EP1801861B1 (en) * 2004-07-09 2012-10-03 Sekisui Chemical Co., Ltd. Method and device for treating outer periphery of a substrate
JP3769583B1 (en) * 2004-07-09 2006-04-26 積水化学工業株式会社 Substrate processing apparatus and method
JP3765826B2 (en) * 2004-07-09 2006-04-12 積水化学工業株式会社 Substrate outer periphery processing method and apparatus
US7074710B2 (en) * 2004-11-03 2006-07-11 Lsi Logic Corporation Method of wafer patterning for reducing edge exclusion zone
FR2879101B1 (en) * 2004-12-14 2007-03-02 Cogema LASER DECONTAMINATION OF THE SURFACE OF A PROFILE PIECE.
JP2006203418A (en) * 2005-01-19 2006-08-03 Sanyo Electric Co Ltd Amplitude adjusting circuit
US7528342B2 (en) * 2005-02-03 2009-05-05 Laserfacturing, Inc. Method and apparatus for via drilling and selective material removal using an ultrafast pulse laser
JP2006339364A (en) * 2005-06-01 2006-12-14 Toshiba Corp Cleaning method and cleaning device
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US20070093067A1 (en) 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer edge cleaning process
WO2007069516A1 (en) * 2005-12-16 2007-06-21 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and manufacturing method of semiconductor device
JP4704916B2 (en) * 2006-01-06 2011-06-22 積水化学工業株式会社 Substrate outer periphery processing apparatus and method
TWI268867B (en) 2006-01-27 2006-12-21 E Pin Optical Industry Co Ltd Multi-beam tandem laser scanning unit
WO2007126815A2 (en) * 2006-03-30 2007-11-08 Applied Materials, Inc. Methods and apparatus for polishing an edge of a subtrate
JP4929042B2 (en) * 2007-05-11 2012-05-09 株式会社日立ハイテクノロジーズ Wafer edge cleaner
US20080289651A1 (en) 2007-05-25 2008-11-27 International Business Machines Corporation Method and apparatus for wafer edge cleaning
US8076605B2 (en) * 2007-06-25 2011-12-13 Electro Scientific Industries, Inc. Systems and methods for adapting parameters to increase throughput during laser-based wafer processing
US7745095B2 (en) * 2007-07-05 2010-06-29 Asml Netherlands B.V. Lithographic method and device manufactured thereby
US7993464B2 (en) * 2007-08-09 2011-08-09 Rave, Llc Apparatus and method for indirect surface cleaning
JP2010026027A (en) * 2008-07-16 2010-02-04 Central Glass Co Ltd Ultraviolet laser device
JP5401089B2 (en) * 2008-12-15 2014-01-29 東京エレクトロン株式会社 Foreign matter removal method and storage medium
EP2213415A1 (en) * 2009-01-29 2010-08-04 S.O.I. TEC Silicon Device for polishing the edge of a semiconductor substrate
US7901854B2 (en) * 2009-05-08 2011-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge exposure unit

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881687B1 (en) * 1999-10-29 2005-04-19 Paul P. Castrucci Method for laser cleaning of a substrate surface using a solid sacrificial film
US6676878B2 (en) * 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
US6635844B2 (en) * 2002-01-03 2003-10-21 United Microelectronics Corp. Apparatus for on-line cleaning a wafer chuck with laser
US20080010845A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate
US7170912B2 (en) * 2004-10-08 2007-01-30 Hon Hai Precision Industry Co., Ltd. Laser drilling system and method for flexible printed circuit board

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101503415B1 (en) 2013-11-27 2015-03-18 한국과학기술원 Apparatus for laser rounding and methods of the same
US11972939B2 (en) 2019-06-17 2024-04-30 Semes Co., Ltd. Method and apparatus for treating substrate
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Also Published As

Publication number Publication date
US20110139759A1 (en) 2011-06-16
US8183500B2 (en) 2012-05-22
JP2014504004A (en) 2014-02-13
US20110147350A1 (en) 2011-06-23
US8410394B2 (en) 2013-04-02
US20110147352A1 (en) 2011-06-23
US20110139757A1 (en) 2011-06-16
US8415587B2 (en) 2013-04-09

Similar Documents

Publication Publication Date Title
US8415587B2 (en) Fiber-optic beam delivery system for wafer edge processing
US8658937B2 (en) Method and apparatus for processing substrate edges
US9768014B2 (en) Wafer coating
TWI557789B (en) Hybrid laser and plasma etch wafer dicing using substrate carrier
KR102157242B1 (en) Laser, plasma etch, and backside grind process for wafer dicing
US6827816B1 (en) In situ module for particle removal from solid-state surfaces
US8206510B2 (en) Method and apparatus for an in-situ ultraviolet cleaning tool
CN108231676B (en) Method for processing wafer
US9269604B2 (en) Wafer edge warp suppression for thin wafer supported by tape frame
TW201304067A (en) Wafer dicing using hybrid galvanic laser scribing process with plasma etch
US20150255346A1 (en) Baking tool for improved wafer coating process
CN116250070A (en) Shadow ring kit for plasma etching wafer singulation process
JP7160624B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
US20210233816A1 (en) Hybrid wafer dicing approach using a spatially multi-focused laser beam laser scribing process and plasma etch process
WO2006068958A2 (en) Non-contact discrete removal of substrate surface contaminants/coatings, and method, apparatus, and system for implementing the same
US10903121B1 (en) Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process
US20160197015A1 (en) Hybrid wafer dicing approach using a polygon scanning-based laser scribing process and plasma etch process
US20090217950A1 (en) Method and apparatus for foam-assisted wafer cleaning
WO2021118831A1 (en) Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
EP1335801B1 (en) Apparatus and method for removing particles from solid-state surfaces
TW201508865A (en) Method of coating water soluble mask for laser scribing and plasma etch

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11844876

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2013542169

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11844876

Country of ref document: EP

Kind code of ref document: A1