WO2011128260A1 - Thermisches behandlungsverfahren mit einem aufheizschritt, einem behandlungsschritt und einem abkühlschritt - Google Patents

Thermisches behandlungsverfahren mit einem aufheizschritt, einem behandlungsschritt und einem abkühlschritt Download PDF

Info

Publication number
WO2011128260A1
WO2011128260A1 PCT/EP2011/055505 EP2011055505W WO2011128260A1 WO 2011128260 A1 WO2011128260 A1 WO 2011128260A1 EP 2011055505 W EP2011055505 W EP 2011055505W WO 2011128260 A1 WO2011128260 A1 WO 2011128260A1
Authority
WO
WIPO (PCT)
Prior art keywords
process chamber
susceptor
temperature
loading
ceiling
Prior art date
Application number
PCT/EP2011/055505
Other languages
German (de)
English (en)
French (fr)
Inventor
Johannes KÄPPELER
Original Assignee
Aixtron Se
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron Se filed Critical Aixtron Se
Priority to KR1020127029969A priority Critical patent/KR101832980B1/ko
Priority to CN201180029125.1A priority patent/CN102947483B/zh
Publication of WO2011128260A1 publication Critical patent/WO2011128260A1/de

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Definitions

  • a thermal treatment method comprising a heating step, a treatment step and a cooling step
  • the invention relates to a method for treating, in particular coating of workpieces, in particular semiconductor substrates in a process chamber of a reactor housing, which form a heated by a heater, a susceptor for receiving the workpieces having process chamber bottom and a cooling device cooled by a cooling process chamber ceiling, wherein by the distance in a heating step, the susceptor is heated from a loading / unloading temperature at which the process chamber is loaded or unloaded with the workpieces to a process temperature, in a heating step subsequent to the process step Treatment step at the process temperature, the workpieces are thermally treated and then cooled in a cooling step, the susceptor to the loading / unloading temperature.
  • DE 102 17 806 A1 discloses a device with which semiconductor layers can be deposited on semiconductor substrates in an MOCVD process.
  • the device has a reactor housing in which a gas inlet member and a susceptor are located. Between the underside of the gas inlet member and the top of the susceptor is a process chamber. Through openings in the process chamber ceiling, a process gas can flow into the process chamber. On the susceptor are substrates that are coated. For this purpose, the process gas or various process gas components decomposes pyrolytically, in particular on the surface of the substrate to be coated.
  • the reaction products which are elements of the III and V main groups, form on the substrate surface a layer which is in epitaxial relation to the monocrystalline substrate.
  • the Susceptor is heated from below with a heater. The susceptor can be displaced in the vertical direction for varying the process chamber height.
  • the invention has for its object to shorten the cycle times of a deposition process.
  • the process chamber is loaded with substrates open when the reactor housing cover. Subsequently, the reactor housing is closed and flushed the process chamber with a purge gas. In a heating step, the susceptor is brought to the process temperature, which can be several hundred degrees higher than the loading / unloading temperature. During the process step, process gases are introduced into the process chamber, so that the thermal treatment process can take place. After completion of the process step, the process chamber or the susceptor is cooled to the loading / unloading temperature in a cooling step. Once this is achieved, the reactor housing can be opened to remove the treated substrates and replace them to be treated substrates.
  • the cycle time is reduced by the fact that the susceptor occupies its maximum distance from the cooled process chamber ceiling during the heating phase. As a result, the heat flow from the heated susceptor to the cooled process chamber ceiling is minimized.
  • a purge gas having a low thermal conductivity for example nitrogen
  • the process chamber height is set to the optimum value for the respective treatment. This may be a pure thermal treatment of a workpiece, in particular a substrate.
  • a process gas consisting of one or more components passes through gas inlet openings of the gas inlet element into the process chamber, where it reacts chemically on the substrate surfaces, so that a semiconductor layer is deposited there.
  • the process gas preferably contains an organometallic component of a metal of the III main group and a hydride of an element of the main group.
  • the process chamber height assumes its minimum value.
  • the process chamber height is particularly preferably flushed with a purge gas which has a high thermal conductivity, for example hydrogen.
  • an MOCVD process is performed at low pressure within the process chamber.
  • the graphite susceptor is preferably heated from below by means of IR or RF heating.
  • the susceptor and a heater which heats the susceptor are preferably displaced in the vertical direction by an actuator.
  • the actuator can be located within the reactor housing and preferably consists of a spindle drive.
  • the susceptor can be rotated about an axis located in the center of the reactor housing.
  • the process chamber ceiling which is preferably formed by a gas outlet surface of a gas inlet member, there are cooling channels through which a coolant flows.
  • the invention relates in particular to a method for depositing at least one layer on at least one substrate in a process chamber of a reactor housing, with a, the bottom of the process chamber forming, heatable by a heater susceptor for receiving the at least one substrate and a cooling device cooled by a cooling process chamber ceiling, said the distance between the process chamber ceiling and the process chamber floor defines a process chamber height which is between a minimum value and a different maximum value is variable, with the following
  • the reactor housing is formed by a reactor housing cover 1, a reactor housing bottom 3 and a reactor housing wall 2.
  • the reactor housing wall 2 may be tubular.
  • the housing interior can be evacuated with a vacuum device, not shown, or kept regulated at a below the atmospheric pressure process chamber pressure.
  • a gas inlet member 7 is fixed, which is fed by a feed line 21 with a purge gas or with process gases.
  • the gas inlet member 7 consists of a hollow body made of stainless steel, in which a baffle plate 20 is located in front of the mouth of the feed line 21.
  • the underside of the gas inlet member 7 forms a gas outlet plate, which has a plurality of sieve-like arranged gas outlet openings 8.
  • the downwardly facing outside of the gas outlet plate forms a process chamber ceiling 10.
  • Between the gas outlet openings 8 are cooling channels 23, through which a liquid coolant, for example. Water, flows to cool the process chamber ceiling 10.
  • the diameter of the circular disk-shaped susceptor 5 may be more than 30 cm.
  • the susceptor 5 is supported by a column 22 which is located in the central axis 6 of the process chamber 4.
  • the column 22 may be rotationally driven to rotate the susceptor 5 about the axis 6 during the coating process.
  • a support plate 17 which may, for example, consist of quartz and carries a gas outlet ring 16 with a plurality of gas outlet openings 18, which is connected to the vacuum device, not shown.
  • a heating coil 15 which is capable of producing an RF field which induces eddy currents in the susceptor 5 made of graphite, thereby allowing the susceptor 5 to be heated to a process temperature
  • actuators 11 are provided which have a spindle drive 13, a spindle 12 rotatably driven by the spindle drive 12 and arranged on the support plate 17 spindle nut 14. With the actuators 11, the vertical position of the susceptor 5, the support plate 17 and the heater 15 can be vari- iert.
  • the process chamber height H between a minimum value and a maximum value can be changed.
  • the height H can be varied between 4 and 50 mm.
  • the diameter of the susceptor is typically at least 30 cm, and typically at most 650 cm.
  • the reactor housing is opened, which can be done, for example, by lifting the reactor housing cover 1. Since the gas inlet member 7 is fixedly secured to the reactor housing cover 1, the susceptor 5 is accessible when the reactor housing cover 1 is open in order to load it with substrates 19. After the susceptor 5 is loaded with the substrates 19 to be coated, the process chamber housing is closed again. The process chamber 4 is purged with a purge gas, which may be nitrogen. By means of the actuator 11 of the susceptor 5 is moved in addition to heating 15 in a maximum lowered position in which the process chamber height H their maximum value, eg.
  • the susceptor 5 is heated to its process temperature, which may be above 600 ° C and above 1000 ° C.
  • a process gas By introducing a process gas through the feed line 21 into the gas inlet member 7 and by exiting the gas outlet openings 8 in the process chamber 4, a growth process is set in motion, in which semiconductor layers are deposited on the substrates 19.
  • the process chamber 4 is purged with a purge gas, which may now be hydrogen.
  • a purge gas which may now be hydrogen.
  • the susceptor 5 is moved in the vertical direction up to a maximum position in which the process chamber height H assumes its minimum value. This can be, for example, a maximum of 2 cm.
  • the heater 15 is switched off and the process chamber ceiling 10 is cooled with a coolant, the susceptor 5 cools down, the heat transfer from the susceptor 5 to the cooled process chamber ceiling 10 being maximized as a result of the highly heat-conducting purge gas and the minimum distance.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
PCT/EP2011/055505 2010-04-16 2011-04-08 Thermisches behandlungsverfahren mit einem aufheizschritt, einem behandlungsschritt und einem abkühlschritt WO2011128260A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020127029969A KR101832980B1 (ko) 2010-04-16 2011-04-08 가열 단계, 처리 단계 및 냉각 단계를 갖는 열 처리 방법
CN201180029125.1A CN102947483B (zh) 2010-04-16 2011-04-08 包含加热步骤、处理步骤和冷却步骤的热处理方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102010016477.1 2010-04-16
DE102010016477A DE102010016477A1 (de) 2010-04-16 2010-04-16 Thermisches Behandlungsverfahren mit einem Aufheizschritt, einem Behandlungsschritt und einem Abkühlschritt

Publications (1)

Publication Number Publication Date
WO2011128260A1 true WO2011128260A1 (de) 2011-10-20

Family

ID=44041665

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2011/055505 WO2011128260A1 (de) 2010-04-16 2011-04-08 Thermisches behandlungsverfahren mit einem aufheizschritt, einem behandlungsschritt und einem abkühlschritt

Country Status (5)

Country Link
KR (1) KR101832980B1 (zh)
CN (1) CN102947483B (zh)
DE (1) DE102010016477A1 (zh)
TW (1) TWI496938B (zh)
WO (1) WO2011128260A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016196105A1 (en) * 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
DE102017105333A1 (de) * 2017-03-14 2018-09-20 Aixtron Se Verfahren und Vorrichtung zur thermischen Behandlung eines Substrates
KR20210106610A (ko) 2020-02-20 2021-08-31 대진대학교 산학협력단 고속 가열냉각 플레이트

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
DE10217806A1 (de) 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
US6709523B1 (en) * 1999-11-18 2004-03-23 Tokyo Electron Limited Silylation treatment unit and method
US20040123806A1 (en) * 2002-12-17 2004-07-01 Anam Semiconductor Inc. Chemical vapor deposition apparatus and method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2529484C3 (de) * 1975-07-02 1982-03-18 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren und Vorrichtung zum epitaktischen Abscheiden von Silicium auf einem Substrat
US6610968B1 (en) * 2000-09-27 2003-08-26 Axcelis Technologies System and method for controlling movement of a workpiece in a thermal processing system
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
DE102005056323A1 (de) * 2005-11-25 2007-05-31 Aixtron Ag Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten
DE102006018515A1 (de) * 2006-04-21 2007-10-25 Aixtron Ag CVD-Reaktor mit absenkbarer Prozesskammerdecke
US20100199914A1 (en) * 2007-10-10 2010-08-12 Michael Iza Chemical vapor deposition reactor chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6709523B1 (en) * 1999-11-18 2004-03-23 Tokyo Electron Limited Silylation treatment unit and method
DE10217806A1 (de) 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
WO2003089684A2 (de) * 2002-04-22 2003-10-30 Aixtron Ag Verfahren und vorrichtung zum abscheiden dünner schichten auf einem substrat in einer höhenverstellbaren prozesskammer
US20040123806A1 (en) * 2002-12-17 2004-07-01 Anam Semiconductor Inc. Chemical vapor deposition apparatus and method

Also Published As

Publication number Publication date
KR20130027018A (ko) 2013-03-14
TWI496938B (zh) 2015-08-21
DE102010016477A1 (de) 2011-10-20
KR101832980B1 (ko) 2018-02-28
CN102947483B (zh) 2015-06-03
CN102947483A (zh) 2013-02-27
TW201200627A (en) 2012-01-01

Similar Documents

Publication Publication Date Title
EP2470684B1 (de) Cvd-verfahren und cvd-reaktor
DE69629412T2 (de) Anlage zur Dampfabscheidung von Dünnschichten
EP1951931B1 (de) Verfahren zum abscheiden von schichten in einem cvd-reaktor sowie gaseinlassorgan für einen cvd-reaktor
DE60310291T2 (de) Verfahren und Vorrichtung zur Gasphasenbeschichtung
EP2126161B1 (de) Vorrichtung und verfahren zum abscheiden kristalliner schichten wahlweise mittels mocvd oder hvpe
DE102006018515A1 (de) CVD-Reaktor mit absenkbarer Prozesskammerdecke
DE112006003315T5 (de) Gaskopf und Dünnfilm-Herstellungsvorrichtung
EP1774057A1 (de) Vorrichtung und verfahren zur chemischen gasphasenabscheidung mit hohem durchsatz
DE102014104218A1 (de) CVD-Reaktor mit Vorlaufzonen-Temperaturregelung
DE102009043960A1 (de) CVD-Reaktor
DE102012101717A1 (de) Verfahren und Vorrichtung zur Regelung der Oberflächentemperatur eines Suszeptors einer Substratbeschichtungseinrichtung
EP1954852A1 (de) Cvd-reaktor mit auswechselbarer prozesskammerdecke
DE102012101438B4 (de) Verfahren zum Reinigen einer Prozesskammer eines CVD-Reaktors
EP1497481A2 (de) Verfahren und vorrichtung zum abscheiden dünner schichten auf einem substrat in einer höhenverstellbaren prozesskammer
EP1861520B1 (de) Gaseinlassorgan f]r einen cvd-reaktor
WO2011128260A1 (de) Thermisches behandlungsverfahren mit einem aufheizschritt, einem behandlungsschritt und einem abkühlschritt
WO2011138315A1 (de) Bevorratungsmagazin einer cvd-anlage
DE102005056536A1 (de) CVD-Reaktor mit widerstandsbeheiztem Suszeptor
WO2018001720A1 (de) Verfahren und vorrichtung zur herstellung von beschichteten halbleiterscheiben
DE112008002689T5 (de) Transfer von Hochtemperaturwafern
WO2023099674A1 (de) Verfahren und vorrichtung zum abscheiden einer ein element der v. hauptgruppe enthaltenen schicht in einer prozesskammer und anschliessendem reinigen der prozesskammer
DE102012104475A1 (de) Carousel-Reactor
WO2021209578A1 (de) Cvd-verfahren und cvd-reaktor mit austauschbaren mit dem substrat wärme austauschenden körpern
DE102022114717A1 (de) Verfahren und Vorrichtung zum Abscheiden einer ein Element der V. Hauptgruppe enthaltenen Schicht in einer Prozesskammer und anschließenden Reinigen der Prozesskammer
DE102018124957A1 (de) CVD-Reaktor mit auf Gaspolstern aufliegenden Substrathaltern

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201180029125.1

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11714263

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20127029969

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 11714263

Country of ref document: EP

Kind code of ref document: A1