WO2011114427A1 - デバイス設定プログラム、方法、及び情報処理装置 - Google Patents

デバイス設定プログラム、方法、及び情報処理装置 Download PDF

Info

Publication number
WO2011114427A1
WO2011114427A1 PCT/JP2010/054356 JP2010054356W WO2011114427A1 WO 2011114427 A1 WO2011114427 A1 WO 2011114427A1 JP 2010054356 W JP2010054356 W JP 2010054356W WO 2011114427 A1 WO2011114427 A1 WO 2011114427A1
Authority
WO
WIPO (PCT)
Prior art keywords
power consumption
information processing
setting
information
cpu
Prior art date
Application number
PCT/JP2010/054356
Other languages
English (en)
French (fr)
Inventor
貴善 鈴木
Original Assignee
富士通株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士通株式会社 filed Critical 富士通株式会社
Priority to JP2012505338A priority Critical patent/JP5447648B2/ja
Priority to PCT/JP2010/054356 priority patent/WO2011114427A1/ja
Publication of WO2011114427A1 publication Critical patent/WO2011114427A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • This application discloses a device setting program, a method, and an information processing apparatus.
  • JP 2005-70681 A Japanese Patent Laid-Open No. 10-268986 JP 2000-330673 A
  • Information processing devices such as computers are a combination of various devices. Thus, some users select a device that suits their usage mode using BTO (Build-to-Order) or the like, and customize information processing equipment that eliminates useless specifications. By eliminating useless specifications, high performance can be obtained while keeping power consumption low.
  • BTO Build-to-Order
  • devices constituting an information processing apparatus such as a computer can reduce power consumption by lowering the operation clock if the load (the amount of information to be processed) is small. However, if the load is large, the power consumption reaches the maximum. .
  • the performance of each device is limited to the level desired by the user, and thus the maximum power consumption can be kept low.
  • the information processing device customized in this way loses user convenience when, for example, the usage pattern of the user changes due to a change in work content. This is because if the capability of a specific device is low, the overall performance is degraded.
  • an object of the present application is to provide a device setting program, a method, and an information processing apparatus that keeps the maximum power consumption low and does not impair the convenience even when the user usage changes.
  • a device setting program executed by an information processing apparatus in which a plurality of devices cooperate to process information
  • Each correlation is determined based on a correlation table that defines the correlation between the operation speed and power consumption of each device according to the operation speed, and a predetermined power consumption that is arbitrarily set for the information processing apparatus.
  • Device setting program executed by an information processing apparatus in which a plurality of devices cooperate to process information
  • Each correlation is determined based on a correlation table that defines the correlation between the operation speed and power consumption of each device according to the operation speed, and a predetermined power consumption that is arbitrarily set for the information processing apparatus.
  • Embodiments of a device setting program, method, and information processing apparatus disclosed in the present application will be described below.
  • the following embodiments merely illustrate the embodiments of the device setting program, the method, and the information processing apparatus disclosed in the present application. Therefore, the scope of rights of the present application is limited to the embodiments described below. is not.
  • FIG. 1 is a configuration diagram of a computer 1 which is an information processing apparatus according to the embodiment.
  • the computer 1 includes a CPU (Central Processing Unit) 2, a video card 3, a main memory 4, a chip set 5, a clock generator 6, and a nonvolatile memory 27.
  • the computer 1 implements various types of information processing through the cooperation of the CPU 2, the video card 3, the main memory 4, the chip set 5, the clock generator 6, and the nonvolatile memory 27.
  • the chipset 5 is connected to a keyboard 7 that is externally connected to the computer 1.
  • the video card 3 is connected to a monitor 8 that is externally connected to the computer 1.
  • the CPU 2 processes the information by sequentially reading, interpreting and executing the instructions of the computer program in the main memory 4.
  • the CPU 2 has a built-in CPU register 2A composed of circuit elements such as flip-flops.
  • the CPU register 2A temporarily holds the calculation result, holds an address when reading / writing from / to the main memory 4, or holds the setting of the operation clock of the CPU 2.
  • the CPU 2 is connected to the chip set 5 via the CPU bus 9.
  • the CPU 2 exchanges information such as data and programs with the video card 3, the main memory 4, the keyboard 7, the monitor 8, and the nonvolatile memory 27 via the chipset 5.
  • the CPU 2 implements various types of information processing by transferring data between the main memory 4 and the CPU register 2A according to the program code.
  • the video card 3 is a device that outputs video to the monitor 8 as a signal.
  • the video card 3 includes a video card controller 3 ⁇ / b> A that controls the operation of the video card 3.
  • the video card controller 3A has a built-in register that temporarily holds data and holds the setting of the operation clock of the video card 3. Note that the video card controller 3 ⁇ / b> A may be provided in the CPU 2 or the chip set 5. Further, a configuration in which the video card 3 is not provided may be adopted.
  • the main memory 4 is a device that holds computer programs and data to be processed by the CPU 2.
  • the main memory 4 is a volatile memory.
  • the operation clock of the main memory 4 is controlled by the memory controller 4A in the chip set 5.
  • the memory controller 4A includes a register that holds the setting of the operation clock of the main memory 4.
  • the memory controller 4A may be provided in the main memory 4 or the CPU 2.
  • the chip set 5 is a bus bridge that connects the CPU bus 9, graphic bus 10, memory bus 11, and nonvolatile memory 27 to each other.
  • the chip set 5 incorporates the memory controller 4A described above.
  • the memory controller 4A controls the operation of the main memory 4.
  • the clock generator 6 has a resonance circuit, and generates a clock signal for synchronizing the operation of each device such as the CPU 2, the video card 3, and the chip set 5.
  • Each device such as the CPU 2, the video card 3, and the main memory 4 operates based on the base clock generated by the clock generator 6.
  • the base clock supplied from the clock generator 6 is supplied to the CPU 2, the video card 3, and the chip set 5.
  • the main memory 4 is supplied with a base clock via the chip set 5.
  • the clock supply method may be different depending on the hardware configuration of the computer 1.
  • the keyboard 7 is one of general input devices used in the computer 1 and is a device mainly based on character input.
  • the keyboard 7 is illustrated as an input device in the computer 1 of this embodiment, you may use a mouse together and may replace it with a touch panel or other input devices.
  • the monitor 8 is a display device that displays a video signal output from the video card 3 on a screen.
  • the nonvolatile memory 27 is a memory that does not require a memory holding operation.
  • the non-volatile memory 27 stores BIOS (Basic Input Input Output System), and holds operation speed setting information of the chipset 5, the main memory 4, the video card 3, and other input / output devices.
  • BIOS Basic Input Input Output System
  • the CPU 2 When the computer 1 is turned on, the CPU 2 reads and executes the BIOS stored in the nonvolatile memory 27. When the BIOS is executed, input / output between the peripheral device such as the keyboard 7 and the monitor 8 and the computer 1 becomes possible.
  • the BIOS information related to the operation clock of each device specified by the BIOS is set in the control register that holds the operation clock setting of the CPU register 2A, the video card controller 3A, and the memory controller 4A. Is done.
  • the operation clock of each device such as the CPU 2, the main memory 4, and the video card 3 follows the information in the control register of each device. That is, each device such as the CPU 2, the main memory 4, and the video card 3 can change the operation clock by changing the setting of the controller prepared for each device.
  • the core clock can be changed by changing the register setting of the video card controller 3A.
  • the operation clock can be changed by setting an ACPI (Advanced Configuration and Power Interface) function or changing a CPU register setting.
  • the operation clock of the main memory 4 can be changed by changing the register setting of the memory controller 4A.
  • FIG. 2 a functional block as shown in FIG. 2 is realized in the computer 1.
  • the functional blocks shown in FIG. 2 are realized mainly by the cooperation of the CPU 2 and the main memory 4.
  • each functional block realized by the computer by executing the device setting program according to the present embodiment is illustrated as shown in FIG. 2, but each functional block is represented by the CPU 2 or video.
  • the card 3, the main memory 4, the chip set 5, the clock generator 6, the keyboard 7, the monitor 8, and the nonvolatile memory 27 are realized in cooperation.
  • the operation setting selection unit 21 manages the function of allowing the user to set the operation clock of each device such as the CPU 2, the video card 3, and the main memory 4. That is, the operation setting selection unit 21 generates an operation clock selection screen for each device to be displayed on the monitor 8.
  • the selection screen is generated by combining information in the power consumption table 23 with a ready-made graphic screen.
  • the maximum power consumption setting unit 22 controls a function for accepting a setting operation for the maximum power that can be consumed by the computer 1. That is, the maximum power consumption setting unit 22 generates a selection screen for the maximum power consumption of the computer 1 to be displayed on the monitor 8. The choice of the maximum power consumption is predetermined in a stepwise manner according to the capacity of the power supply unit that the computer 1 has.
  • the power consumption table 23 is a table in which the correlation between the operation clocks of the CPU 2, the video card 3, and the main memory 4 and the power consumption is predetermined.
  • the contents of the power consumption table 23 are shown in FIG.
  • the power consumption table 23 predefines the correlation between the operation clock setting and the power consumption for each device such as the CPU 2, the video card 3, and the main memory 4 for each device.
  • the setting value determination unit 24 verifies the validity of the operation setting of each device selected by the operation setting selection unit 21 based on information in the maximum power consumption setting unit 22 and the power consumption table 23, or finally determines The set operation clock setting information of each device is transferred to the operation setting unit 25.
  • the operation setting unit 25 When the operation setting unit 25 receives information on the setting value of the operation clock of each device from the setting value determination unit 24, the operation setting unit 25 accesses the BIOS stored in the nonvolatile memory 27 and sets the operation clock of each device. Rewrite the information.
  • FIG. 4 shows a processing flow realized by the cooperation of the functional blocks shown in FIG.
  • the maximum power consumption setting unit 22 When the user starts executing the device setting program, the maximum power consumption setting unit 22 generates a maximum power consumption setting screen of the computer 1, and the operation setting selection unit 21 generates an operation setting screen of each device (S101). ).
  • FIG. 5 is an example of a screen generated by the maximum power consumption setting unit 22 and the operation setting selection unit 21 and displayed on the monitor 8.
  • the maximum power consumption setting unit 22 presents four options for the maximum power consumption of the computer 1 as shown in FIG. Further, as shown in FIG. 5, the operation setting selection unit 21 presents four operation clock options for the CPU 2, the video card 3, and the main memory 4. Each option can be selected with a pointing device such as a mouse.
  • the set value determining unit 24 calculates the total power consumption of each device (S103). For example, a user who wants to emphasize the speed of graphic display while limiting the maximum power consumption to 100 W or less sets the operation clock of the CPU 2 to 2000 MHz and sets the operation clock of the video card 3 to 600 MHz as shown in FIG. Assume that the operation clock of the main memory 4 is set to 665 MHz. In this case, the total power consumption of each device calculated by the set value determination unit 24 is 90 W.
  • the computer 1 includes a device that consumes power. For example, the chip set 5 or the clock generator 6.
  • the computer 1 also supplies power to external devices.
  • the power consumed by the computer 1 includes the power of these devices other than the CPU 2, video card 3, and main memory 4 (hereinafter referred to as base power).
  • base power the power whose power consumption does not change even when the operation clock is changed needs to be included in the power consumption of the entire computer 1. Therefore, the set value determination unit 24 calculates the power consumption of the computer 1 by adding the base power to the total power consumption of the CPU 2, the video card 3, and the main memory 4.
  • the base power is generally constant regardless of the operating state of the computer 1. Therefore, the set value determination unit 24 calculates the base power as 10 W as defined in the power consumption table 23. As a result, if the option shown in FIG. 5 is selected, the set value determination unit 24 calculates a value of 100 W as the power consumption of the computer 1. Note that the set value determining unit 24 may acquire the base power from the actually measured value.
  • the set value determination unit 24 determines whether or not the calculated value is equal to or less than the selected value of the maximum power consumption (S104). For example, if the option shown in FIG. 5 is selected, the power consumption of the computer 1 calculated by the set value determination unit 24 in step S103 is 100 W, whereas the set maximum power consumption is 100 W. Therefore, the set value determination unit 24 makes an affirmative determination.
  • the set value determination unit 24 determines whether or not the total power consumption of each device exceeds the set maximum power consumption, and displays non-selectable options in gray. The user may not be allowed to select.
  • An example of the setting screen in this case is shown in FIG. 6, and the processing flow is shown in FIG.
  • the setting screen shown in FIG. 6 is an example when the maximum power consumption is set to 100 W and the operation clock of the CPU 2 is set to 2500 MHz. Assume that the selection button is pressed on the screen of S101, 100 W is selected as the maximum power consumption, and 2500 MHz is selected as the operation clock of the CPU 2 (S1001).
  • the set value determination unit 24 calculates the total power consumption value of the selected device (S1002). Then, it is determined whether or not the calculated total power consumption of the device is equal to or less than the selected maximum power consumption (S1003).
  • the set value determination unit 24 cancels the selection operation accepted in S1001. On the other hand, if the calculated total power consumption value of the device is equal to or less than the selected maximum power consumption, the set value determination unit 24 extracts an unselectable option (S1005).
  • the remaining power that can be used by the video card 3 and the main memory 4 is a value obtained by subtracting the power of the CPU 2 and the base power from the set maximum power consumption.
  • the minimum power consumption of the main memory 4 is 15 W
  • the maximum power that can be allocated to the video card 3 is 25 W. Therefore, 600 MHz and 500 MHz, which are operation clock options of the video card 3, are extracted as options that cannot be selected.
  • the minimum power consumption of the video card 3 is 20 W
  • the maximum power that can be allocated to the main memory 4 is 20 W. Therefore, 1333 MHz which is an operation clock option of the main memory 4 is extracted as an unselectable option.
  • the set value determination unit 24 displays the extracted options on the monitor 8 in gray (S1006). That is, as shown in FIG. 6, 600 MHz and 500 MHz, which are operation clock options for the video card 3, and 1333 MHz, which is an operation clock option for the main memory 4, are displayed in gray. In this way, the set value determination unit 24 calculates the total value of power consumption each time the selection button on the setting screen in FIG. 6 is pressed, extracts the unselectable options, and displays them on the monitor 8 in gray. As a result, the user cannot select an option that cannot be selected. When the enter button is pressed (S1007), the processing after step S106 in FIG. 4 is executed.
  • the set value determining unit 24 displays an error screen on the monitor 8 when a negative determination is made in step S104 (S105).
  • FIG. 8 is an example of an error screen displayed on the monitor 8 by the set value determination unit 24. If the set value determination unit 24 makes a negative determination in step S104, the monitor 8 displays an error message indicating that the power consumption of the computer 1 exceeds the set maximum power consumption, as shown in FIG. . If the confirmation button is pressed, the process returns to step S101 again. That is, the maximum power consumption setting unit 22 generates a setting screen for the maximum power consumption of the computer 1, and the operation setting selection unit 21 generates an operation setting screen for each device (S101).
  • the set value determination unit 24 displays a confirmation screen on the monitor 8 when an affirmative determination is made in step S104 (S106).
  • FIG. 9 is an example of a confirmation screen displayed on the monitor 8 by the set value determination unit 24.
  • the set value determination unit 24 monitors the power consumption value calculated in the process of step S103 and a confirmation message as to whether or not to execute the setting change, as shown in FIG. 8 is displayed.
  • the cancel button is pressed, the process returns to step S101 again.
  • the set value determination unit 24 hands over the operation clock setting information of each device to the operation setting unit 25.
  • the operation setting unit 25 performs BIOS update processing when the setting value information of the operation clock of each device is delivered from the setting value determination unit 24 (S108). That is, the operation setting unit 25 accesses the nonvolatile memory 27, and sets the operation clock setting information of each device delivered from the setting value determination unit 24 to the operation of each device included in the BIOS stored in the nonvolatile memory 27. Overwrites the clock setting information.
  • the operation setting unit 25 causes the monitor 8 to display a screen prompting the restart of the computer 1 as shown in FIG. 10 (S109).
  • the operation setting unit 25 waits until a user operation is performed after the monitor 8 displays a screen prompting the computer 1 to restart (S110). If the cancel button is pressed, the device setting program is terminated. On the other hand, if the approval button is pressed, the computer 1 starts the restart process (S111), and the device setting program is terminated.
  • each device operates with the clock set by the user when the computer 1 is started again. That is, when the computer 1 is restarted or the power is turned on, the CPU 2 reads and executes the updated BIOS stored in the nonvolatile memory 27.
  • the updated BIOS information related to the operation clock of each device set by the user is stored in the control register holding the operation clock setting of the CPU register 2A, the video card controller 3A, and the memory controller 4A. Is set.
  • the operation clocks of the devices such as the CPU 2, the main memory 4, and the video card 3 operate with the clock set by the user.
  • an operation giving priority to the process desired by the user is realized within the set range of the maximum power consumption.
  • an operation emphasizing the speed of graphic display is realized while limiting the maximum power consumption to 100 W or less. That is, according to the present embodiment, it is possible to suppress the maximum power consumption in consideration of the work contents and intentions of the user even in a situation where the load of a specific device is high.
  • the maximum power consumption and the setting of each device are set in four stages, but may be set in three stages or less, or in five stages or more. Further, the maximum power consumption and the power and frequency shown as options for each device are not limited to those shown in FIGS. 3, 5, and 6, but are within the range of the maximum power consumption and the operable frequency. May be changed as appropriate.
  • the maximum power consumption and the setting of each device may be performed steplessly.
  • the power consumption table shown in FIG. 3 does not define the correlation between the power consumption of each device and the operation clock step by step.
  • the correlation between the power consumption of each device and the operation clock is shown in a graph.
  • those defined steplessly are preferred.
  • the setting screen shown in FIG. 5 does not include buttons for selecting the maximum power consumption and the operation clock of each device in stages, but shows the clock frequency and power consumption according to the slider and the position of the slider. A scale or the like is preferably provided.
  • the operation clock is changed for the three devices of the CPU 2, the video card 3, and the main memory 4.
  • any device can be used as long as the power consumption changes when the operation clock is changed. Also good.
  • the operation clock of each device is changed by changing the register values of the controller of the CPU 2, the video card 3, and the main memory 4.
  • the change in the operation speed of each device is as described above. It is not limited to the embodiment.
  • the speed of each device may be changed by changing the clock or transfer speed of a bus connected to each device.
  • the device setting program may be as shown below.
  • FIG. 11 shows functional blocks realized in the computer 1 by the device setting program according to this modification.
  • the operation setting selection unit 21, the maximum power consumption setting unit 22, the power consumption table 23, the set value determination unit 24, the operation setting unit 25, and the like are included in the computer 1.
  • the utilization measuring unit 26 is realized.
  • the usage measuring unit 26 measures the usage (also referred to as load factor) of each device such as the CPU 2, the video card 3, and the main memory 4. That is, the usage measuring unit 26 records the usage of each device such as the CPU 2, the video card 3, and the main memory 4 in a log at regular intervals.
  • the utilization of each device is a value obtained by dividing the number of clocks in which the logic circuit of the device actually operates within a certain time by the number of clocks counted within the certain time. Such usage of each device may be measured with a performance tool of the operating system of the computer 1 or may be measured with a third-party measurement tool created by a person other than the manufacturer of the computer 1. Good.
  • the usage measuring unit 26 measures the usage of each device, for example, every second and records it in a log.
  • the log is stored in a nonvolatile storage medium such as the main memory 4 or a hard disk (not shown).
  • FIG. 12 shows a processing flow realized by the cooperation of the functional blocks shown in FIG.
  • the maximum power consumption setting unit 22 When the user starts to execute the device setting program, the maximum power consumption setting unit 22 generates a setting screen for the maximum power consumption of the computer 1, and the usage measurement unit 26 generates a setting screen for log units (S201). .
  • FIG. 13 is an example of a screen generated by the maximum power consumption setting unit 22 and the usage measurement unit 26 and displayed on the monitor 8.
  • the maximum power consumption setting unit 22 presents four options for the maximum power consumption of the computer 1, as shown in FIG. Further, as shown in FIG. 13, the utilization measuring unit 26 presents four log period options to be referred to when determining the utilization rate of each device.
  • the confirmation button is pressed without pressing any option button (S202)
  • the same processing as the processing from step S106 to step S111 described above is executed, and the operation clock of each device possessed by the BIOS
  • the setting information is updated and the computer 1 is restarted.
  • the maximum power consumption is set to 100 W and the log unit is set to one week, but if no option button is pressed, the maximum power consumption is the default value. Is set to 150 W, and the log unit is set to 1 hour as a default value.
  • the setting value determination unit 24 acquires information on the usage of each device from the usage measurement unit 26 when determining the relative value of the usage of each device.
  • the setting value determination unit 24 obtains the log usage information for the log unit set on the screen of FIG. 12 from the usage measurement unit 26. For example, as illustrated in FIG. 13, when the log unit is set to one day, the set value determination unit 24 obtains log usage information for one day from the usage measurement unit 26.
  • the usage measuring unit 26 returns a default initial value to the set value determining unit 24 as a default value when there is only a log remaining in the unit set by the user.
  • the initial value may be, for example, 100%, which is a uniform usage rate assuming that each device is used evenly, or may be a usage rate that is different for each device.
  • the setting value determination unit 24 determines the relative value of the usage of each device based on the usage information obtained from the usage measurement unit 26.
  • the relative value of the utilization indicates the ratio of the utilization of a specific device to the total value of the utilization of each device.
  • the relative value Rv1C of the utilization of the CPU 2 is 0.38
  • the relative value Rv1M of the utilization of the main memory 4 is 0.28.
  • the set value determination unit 24 determines a relative value of power consumption of each device.
  • the power consumption of each device is the same, the power consumption is different.
  • the relative value of the power consumption of each device is determined from the maximum power consumption of each device. That is, the relative value of power consumption represents the ratio of the maximum power consumption of a specific device to the total value of the maximum power consumption of each device.
  • the relative value of the power consumption of the video card 3 is represented by the following formula.
  • the relative value Rv2C of the power consumption of the CPU 2 is 0.51
  • the relative value Rv2M of the power consumption of the main memory 4 is 0.18.
  • the set value determination unit 24 adds up the relative values of the utilization and the relative values of the power consumption, and determines the final relative value of each device.
  • the final relative value represents the ratio of the total value of the relative values of the load factor and the maximum power consumption of all devices to the total value of the relative value of the load factor and the maximum power consumption.
  • Equation 3 The relative value of the utilization of each device and the relative value of the power consumption calculated from the above-described Equation 1 and Equation 2 are substituted into Equation 3.
  • the final relative value Rv3C of the CPU 2 is 0.44, and the final relative value Rv3M of the main memory 4 is 0.23.
  • the set value determining unit 24 assigns to each device a value obtained by multiplying the total value of power that can be assigned to the CPU 2, the video card 3, and the main memory 4 by the relative value. Calculated as possible power (S204).
  • the set value determination unit 24 determines the allocation within the range of the maximum power consumption set on the screen of FIG. For example, if the maximum power consumption is set to 100 W, the power of each device is allocated so that the power of all devices falls within this range. If the maximum power consumption is set to 100 W, the remaining power after subtracting the base power (10 W), in other words, the total power that can be allocated to each device is 90 W.
  • the set value determination unit 24 thus calculates the weight of each device from the utilization rate of each device, and determines an operation clock corresponding to the weight of each device for each device.
  • the operation clock of each device is set within a range not exceeding the allocated power. For example, in the case of the video card 3, 400 MHz (25 W), which is power consumption in a range not exceeding the allocated 28.4 W, is selected.
  • the set value determination unit 24 selects 2000 MHz (40 W) for the CPU 2 and 1066 MHz (20 W) for the main memory 4 as in the video card 3.
  • step S202 When the confirmation button on the setting screen shown in FIG. 13 is pressed after the processing from step S203 to S204 is performed (S202), the same processing as the processing from step S106 to step S111 described above is executed, and the BIOS is executed. Update or restart of the computer 1 is performed. In the process executed in step S108, the BIOS update process is performed so that the BIOS information that defines the operation clock of each device becomes the operation clock determined by the setting value determination unit 24 in the process in step S204.
  • the BIOS setting information of the operation clock of each device of the BIOS of the computer 1 is updated by the above-described series of processing realized by the device setting program according to the present modification, the BIOS setting information is restored when the computer 1 is restarted.
  • the operation clock of each device is set, and each device operates with a clock according to the latest utilization. That is, the operation clocks of the devices such as the CPU 2, the main memory 4, and the video card 3 operate with a clock in consideration of the recent user usage based on the log. As a result, an operation in which processing frequently performed by the user is prioritized within the set maximum power consumption range is realized.
  • the present modification it is possible to suppress the maximum power consumption in consideration of the user's work content and intention even in a situation where the load on a specific device is high.
  • Some users may not clearly know the operation clock required for each device according to their work content.
  • the operation clock necessary for each device is automatically determined based on the measurement result of the usage status (load factor) of each device. For this reason, even when a user who does not clearly know the operation clock required for each device according to his / her work content is used, it is possible to suppress the maximum power consumption in consideration of the user's work content.
  • the device setting program disclosed in the present application is a program according to the above-described embodiment in which the user himself sets the operation clock of each device, and a program according to the above-described modification in which the operation clock of each device is automatically set according to the usage situation. May be combined. For example, when the program is started, the operation clock setting of each device is automatically determined according to the usage situation and presented to the user on the monitor, and then the user can set the operation clock of each device. Also good.
  • the allocation power determination process performed by the set value determination unit 24 uses a relative value, but may be as follows. For example, it is assumed that the usage rates of the CPU 2, the video card 3, and the main memory 4 are 60%, 100%, and 50%, respectively.
  • the set value determination unit 24 temporarily determines the operation clock of each device as follows. That is, the operation clock of the CPU 2 is 2000 MHz which is about 60% of the rated capacity, the operation clock of the video card 3 is 600 MHz which is the rated capacity, and the operation clock of the main memory 4 is 665 MHz which is about 50% of the rated capacity. Decide tentatively.
  • the set value determination unit 24 calculates the total power consumption of the CPU 2, the video card 3, and the main memory 4 from the correlation between the operation clock of each device tentatively determined and the power consumption table 23.
  • the power consumption of the CPU 2 is 40 W
  • the power consumption of the video card 3 is 35 W
  • the power consumption of the main memory 4 is 15 W. Therefore, the total power consumption is 90 W. If the calculated total power consumption value is equal to or less than the set maximum power consumption, the set value determination unit 24 generates a setting screen in which the provisionally determined setting state is indicated by a box, and displays the setting screen again on the monitor 8.
  • the set value determination unit 24 tentatively determines if the total value of the calculated power consumption is higher than the set maximum power consumption, such as when the maximum power consumption is set to 75 W, for example. Lower the operating clock of each device by one.
  • the setting value determination unit 24 tentatively determines the operation clock of each device to 1500 MHz when the operation clock of the CPU 2 is 2000 MHz and the operation clock of the video card 3 is 600 MHz as described above. If the operation clock of the main memory 4 is 665 MHz, it cannot be lowered any further and is left as it is. Then, the total power consumption of each device is calculated again, and it is determined whether or not the power consumption is equal to or less than the set maximum power consumption.
  • the setting value determination unit 24 When the total power consumption value of each device is equal to or less than the set maximum power consumption, the setting value determination unit 24 generates a setting screen that tentatively determines the setting state and shows the setting screen again on the monitor 8. Display.
  • the operating clock of each device is set based on the BIOS setting information after the computer 1 is restarted.
  • Each device operates with a clock according to the most recent usage. As a result, an operation that prioritizes processing frequently performed by the user within the set maximum power consumption range is realized.
  • the device setting program according to the above-described embodiment and each modification may be recorded on a computer-readable recording medium.
  • the above functions can be provided by causing a computer to read and execute a program of such a recording medium.
  • the computer-readable recording medium refers to a recording medium that accumulates information such as data and programs by electrical, magnetic, optical, mechanical, or chemical action and can be read from a computer or the like.
  • Examples of such a recording medium that can be removed from a computer or the like include a flexible disk, a magneto-optical disk, a CD-ROM, a CD-R / W, a DVD, a DAT, an 8 mm tape, and a memory card.
  • a recording medium fixed to a computer or the like there are a hard disk, a ROM (read only memory), and the like.

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Sources (AREA)

Abstract

 ユーザの利用形態が変化しても、最大消費電力を低く抑えつつ利便性も損なわないデバイス設定プログラム、方法、及び情報処理装置を提供することを目的とする。複数のデバイスが協働して情報を処理する情報処理装置で実行されるデバイス設定プログラムであって、情報処理装置に、前記各デバイスの動作速度と消費電力との相関関係を動作速度に応じて定義した相関テーブルと、前記情報処理装置に対して任意に設定された既定の消費電力とに基づいて確定する、該各デバイスの総消費電力が該既定の消費電力を超えない範囲内で、該各デバイスの動作速度の変更操作を受け付ける処理と、変更操作を受け付けたら、各デバイスの動作速度を制御する際に参照される各デバイスの動作速度を規定する速度情報を更新する処理と、を実行させる。

Description

デバイス設定プログラム、方法、及び情報処理装置
 本願は、デバイス設定プログラム、方法、及び情報処理装置を開示する。
 近年、地球環境を保護する観点から、様々な分野で省エネルギーが強く求められている。また、電力に関しては、電力会社が擁する発電設備の能力に限界があるため、電力の需要が高い夏場には消費電力を制限することが求められることもある。
 電子機器の消費電力は、動作電圧や動作クロックに大きく依存する。そこで、電子機器の中には、負荷が小さい場合に動作クロックを下げて消費電力を抑制するものがある(例えば、特許文献1を参照)。
特開2005-70681号公報 特開平10-268986号公報 特開2000-330673号公報
 コンピュータ等の情報処理装置は、様々なデバイスが組み合わさっている。そこで、一部のユーザは、BTO(Build to Order)等で自らの利用形態にあったデバイスを選び、無駄な仕様を省いた情報処理機器をカスタマイズしたりしている。無駄な仕様を省くことにより、消費電力を低く抑えつつ高い性能を得ることができる。
 ところで、コンピュータ等の情報処理装置を構成するデバイスは、負荷(処理する情報量)が小さければ動作クロックを下げることで消費電力を低減できるが、負荷が大きい場合は消費電力が最大まで達してしまう。ここで、無駄な仕様を省くようにカスタマイズされた情報処理機器の場合、各デバイスの性能はユーザが望む程度に制限されているため、最大消費電力も低く抑えられる。しかし、そのようにカスタマイズされた情報処理機器は、例えば、作業内容が変わったりすることによりユーザの利用形態が変化した場合、ユーザの利便性が損なわれる。特定のデバイスの能力が低いと、全体の性能を低下させるためである。
 そこで、本願は、ユーザの利用形態が変化しても、最大消費電力を低く抑えつつ利便性も損なわないデバイス設定プログラム、方法、及び情報処理装置を提供することを目的とする。
 本願は、上記課題を解決するため、下記のコンピュータプログラムを開示する。
 複数のデバイスが協働して情報を処理する情報処理装置で実行されるデバイス設定プログラムであって、
 前記情報処理装置に、
 前記各デバイスの動作速度と消費電力との相関関係を動作速度に応じて定義した相関テーブルと、前記情報処理装置に対して任意に設定された既定の消費電力とに基づいて確定する、該各デバイスの総消費電力が該既定の消費電力を超えない範囲内で、該各デバイスの動作速度の変更操作を受け付ける処理と、
 前記変更操作を受け付けたら、前記各デバイスの動作速度を制御する際に参照される該各デバイスの動作速度を規定する速度情報を更新する処理と、を実行させる、
 デバイス設定プログラム。
 なお、上記デバイス設定プログラムは、方法や装置の側面から捉えることもできる。
 ユーザの利用形態が変化しても、最大消費電力を低く抑えつつ利便性も損なわないデバイス設定プログラム、方法、及び情報処理装置を提供することが可能となる。
コンピュータの構成図である。 デバイス設定プログラムの実行によってコンピュータ内に実現される機能ブロック図である。 消費電力テーブルの内容を示す図である。 デバイス設定プログラムが実行する処理フローの図である。 モニタに表示される設定画面の一例である。 モニタに表示される設定画面の変形例である。 デバイス設定プログラムが実行する処理フローの変形例の図である。 モニタに表示されるエラー画面の一例である。 モニタに表示される確認画面の一例である。 モニタに表示される再起動の確認画面の一例である。 デバイス設定プログラムの実行によってコンピュータ内に実現される機能ブロックの変形例の図である。 デバイス設定プログラムが実行する処理フローの変形例の図である。 モニタに表示される設定画面の変形例である。
 本願で開示するデバイス設定プログラム、方法、及び情報処理装置の実施形態を以下に説明する。以下に示す実施形態は、単に本願で開示するデバイス設定プログラム、方法、及び情報処理装置の実施形態を例示的に示すものであるため、本願の権利範囲が以下に示す実施形態に限定されるものではない。
 図1は、実施形態に係る情報処理装置であるコンピュータ1の構成図である。コンピュータ1は、図1に示すように、CPU(Central Processing Unit)2、ビデオカード3、主メモリ4、チップセット5、クロックジェネレータ6、及び不揮発性メモリ27を備える。コンピュータ1は、CPU2やビデオカード3、主メモリ4、チップセット5、クロックジェネレータ6、及び不揮発性メモリ27が協働することで、各種の情報処理を実現する。チップセット5は、コンピュータ1に外部接続されるキーボード7と繋がる。ビデオカード3は、コンピュータ1に外部接続されるモニタ8と繋がる。
 CPU2は、主メモリ4にあるコンピュータプログラムの命令を順に読み込んで解釈し、実行することで情報の加工を行う。CPU2は、フリップフロップなどの回路素子で構成されるCPUレジスタ2Aを内蔵している。CPUレジスタ2Aは、演算結果を一時的に保持したり、主メモリ4を読み書きする際のアドレスを保持したり、或いはCPU2の動作クロックの設定を保持する。CPU2は、CPUバス9によってチップセット5と接続されている。CPU2は、チップセット5を介してビデオカード3や主メモリ4、キーボード7、モニタ8、不揮発性メモリ27とデータやプログラムなどの情報をやりとりする。CPU2は、プログラムコードに従って主メモリ4とCPUレジスタ2Aとの間でデータを移送することで、各種の情報処理を実現する。
 ビデオカード3は、映像を信号としてモニタ8に出力するデバイスである。ビデオカード3には、ビデオカード3の動作を制御するビデオカードコントローラ3Aが内蔵されている。ビデオカードコントローラ3Aは、データを一時的に保持したりビデオカード3の動作クロックの設定を保持したりするレジスタを内蔵している。なお、ビデオカードコントローラ3Aは、CPU2あるいはチップセット5に設けられていてもよい。また、ビデオカード3を設けない構成を採ってもよい。
 主メモリ4は、CPU2が処理すべきコンピュータプログラムやデータを保持するデバイスである。主メモリ4は、揮発性のメモリである。主メモリ4の動作クロックは、チップセット5内のメモリコントローラ4Aによって制御される。メモリコントローラ4Aは、主メモリ4の動作クロックの設定を保持するレジスタを内蔵している。なお、メモリコントローラ4Aは、主メモリ4やCPU2に設けられていてもよい。
 チップセット5は、CPUバス9やグラフィックバス10、メモリバス11、不揮発性メモリ27を相互に接続するバスブリッジである。チップセット5には、既述したメモリコントローラ4Aが内蔵されている。メモリコントローラ4Aは、主メモリ4の動作を制御する。
 クロックジェネレータ6は、共振回路を有しており、CPU2やビデオカード3、チップセット5といった各デバイスの動作を同期させるためのクロック信号を生成する。CPU2やビデオカード3、主メモリ4といった各デバイスは、このクロックジェネレータ6が生成するベースクロックを基準に動作する。本実施形態では、クロックジェネレータ6から供給されるベースクロックは、CPU2、ビデオカード3、チップセット5に供給される。主メモリ4は、チップセット5経由でベースクロックの供給を受ける。もっとも、コンピュータ1のハードウェア構成に応じて、クロックの供給方法は異なっていてもよい。
 キーボード7は、コンピュータ1に用いられる一般的な入力装置の一つであり、主に文字入力を基本とする機器である。なお、本実施形態のコンピュータ1には、入力装置としてキーボード7を例示しているが、マウスを併用してもよいし、タッチパネルやその他の入力装置類に代えてもよい。
 モニタ8は、ビデオカード3から出力される映像信号を画面に表示する表示装置である。
 不揮発性メモリ27は、記憶保持動作が不要なメモリである。不揮発性メモリ27には、BIOS(Basic Input Output System)が格納されており、チップセット5や主メモリ4、ビデオカード3やその他入出力装置類の動作速度の設定情報が保持される。
 コンピュータ1は、電源がオンになると、CPU2が不揮発性メモリ27に格納されているBIOSを読み出して実行する。BIOSが実行されると、キーボード7やモニタ8といった周辺機器とコンピュータ1との間における入出力が可能になる。また、BIOSが実行されると、CPUレジスタ2Aやビデオカードコントローラ3A、メモリコントローラ4Aが有している動作クロックの設定を保持するコントロールレジスタに、BIOSが規定する各デバイスの動作クロックに関する情報が設定される。CPU2や主メモリ4、ビデオカード3といった各デバイスの動作クロックは、各デバイスのコントロールレジスタの情報に従う。すなわち、CPU2や主メモリ4、ビデオカード3といった各デバイスは、各々に用意されているコントローラの設定が変更されることにより、動作クロックを変更することができる。例えば、ビデオカード3であれば、ビデオカードコントローラ3Aのレジスタ設定の変更により、コアクロックを変更できる。CPU2であれば、ACPI(Advanced Configuration and Power Interface)機能の設定やCPUレジスタ設定の変更により、動作クロックを変更できる。主メモリ4であれば、メモリコントローラ4Aのレジスタ設定の変更により、主メモリ4の動作クロックを変更できる。
 BIOSが実行されてコンピュータ1の起動が完了したら、ユーザは、所望する各種のプログラムを実行可能になる。ここで、ユーザが、本実施形態に係るデバイス設定プログラムの実行を開始すると、コンピュータ1の内部には、図2に示すような機能ブロックが実現される。図2に示す機能ブロックは、主にCPU2や主メモリ4が協働することで実現される。なお、本願では、説明の便宜上、本実施形態に係るデバイス設定プログラムを実行することでコンピュータが実現する各機能ブロックを図2に示すように図示しているが、各機能ブロックは、CPU2やビデオカード3、主メモリ4、チップセット5、クロックジェネレータ6、キーボード7、モニタ8、不揮発性メモリ27が協働することで実現されるものである。
 図2に示す機能ブロックの内容を詳述する。ユーザが、デバイス設定プログラムを実行すると、コンピュータ1の内部には、動作設定選択部21や最大消費電力設定部22、消費電力テーブル23、設定値決定部24、動作設定部25等の各種機能ブロックが実現される。
 動作設定選択部21は、CPU2やビデオカード3、主メモリ4といった各デバイスの動作クロックをユーザに設定させる機能を司る。すなわち、動作設定選択部21は、モニタ8に表示させる各デバイスの動作クロックの選択画面を生成する。選択画面は、消費電力テーブル23の情報を既製のグラフィック画面に組み合わせることで生成される。
 最大消費電力設定部22は、コンピュータ1が消費可能な電力の最大値の設定操作を受け付ける機能を司る。すなわち、最大消費電力設定部22は、モニタ8に表示させるコンピュータ1の最大消費電力の選択画面を生成する。最大消費電力の選択肢は、コンピュータ1が有する電源ユニットの容量等に応じて段階的に既定されたものである。
 消費電力テーブル23は、CPU2やビデオカード3、主メモリ4の動作クロックと消費電力との相関関係を既定したものである。消費電力テーブル23の内容を図3に示す。消費電力テーブル23は、図3に示すように、CPU2やビデオカード3、主メモリ4といった各デバイスについて、動作クロックの設定と消費電力との相関関係をデバイス毎に4段階ずつ既定している。
 設定値決定部24は、動作設定選択部21によって選択される各デバイスの動作設定の妥当性を、最大消費電力設定部22や消費電力テーブル23の情報に基づいて検証したり、最終的に決定された各デバイスの動作クロックの設定情報を動作設定部25に引き渡したりする。
 動作設定部25は、設定値決定部24から各デバイスの動作クロックの設定値の情報を引き渡されたら、不揮発性メモリ27に格納されているBIOSにアクセスして各デバイスの動作クロックの設定に関するBIOSの情報を書き換える。
 図2に示す各機能ブロックが協働して実現する処理フローを図4に示す。ユーザが、デバイス設定プログラムの実行を開始すると、最大消費電力設定部22がコンピュータ1の最大消費電力の設定画面を生成し、動作設定選択部21が各デバイスの動作設定の画面を生成する(S101)。図5は、最大消費電力設定部22と動作設定選択部21によって生成され、モニタ8に表示される画面の一例である。最大消費電力設定部22は、図5に示すように、コンピュータ1の最大消費電力の選択肢を4つ提示する。また、動作設定選択部21は、図5に示すように、CPU2とビデオカード3と主メモリ4について、動作クロックの選択肢を4つずつ提示する。各選択肢は、マウス等のポインティングデバイスで選択可能である。
 図5の画面で何れかの選択肢のボタンが押され、確認ボタンが押されると(S102)、各デバイスの消費電力の合計を設定値決定部24が算出する(S103)。例えば、最大消費電力を100W以下に制限しつつグラフィック表示の速度を重視したいユーザが、図5に示すように、CPU2の動作クロックを2000MHzに設定し、ビデオカード3の動作クロックを600MHzに設定し、主メモリ4の動作クロックを665MHzに設定したとする。この場合、設定値決定部24が算出する各デバイスの消費電力の合計は90Wになる。ここで、コンピュータ1は、CPU2やビデオカード3、主メモリ4の他にも電力を消費する機器を内蔵している。例えば、チップセット5やクロックジェネレータ6である。また、コンピュータ1は、外部の機器にも電力を供給している。例えば、キーボード7である。コンピュータ1が消費する電力には、CPU2やビデオカード3、主メモリ4以外のこれらの機器の電力(以下、ベース電力という)も含まれている。このように、動作クロックの変更によっても消費電力が変化しないベース電力についても、コンピュータ1全体の消費電力に含めて考慮する必要がある。そこで、設定値決定部24は、CPU2やビデオカード3、主メモリ4の消費電力の合計値に更にベース電力を合算したものをコンピュータ1の消費電力として算出する。ベース電力は、コンピュータ1の動作状態に関わらず概ね一定である。よって、設定値決定部24は、消費電力テーブル23に既定されているように、ベース電力を10Wとして計算する。その結果、図5に示す選択肢が選択されている場合であれば、設定値決定部24は、コンピュータ1の消費電力として100Wという値を算出することになる。なお、設定値決定部24は、実測値からベース電力を取得してもよい。
 設定値決定部24は、コンピュータ1の消費電力を算出したら、この算出値が最大消費電力の選択値以下であるか否かを判定する(S104)。例えば、図5に示す選択肢が選択されている場合であれば、設定値決定部24がステップS103で算出したコンピュータ1の消費電力が100Wであるのに対し、設定されている最大消費電力は100Wであるため、設定値決定部24は肯定判定を行なう。
 なお、設定値決定部24は、選択ボタンが押される度に、各デバイスの消費電力の合計値が設定された最大消費電力を超えているか否かの判定を行い、選択不能な選択肢をグレー表示にしてユーザに選択させないようにしてもよい。この場合の設定画面の例を図6に、処理フローを図7に示す。
 図6に示す設定画面は、最大消費電力が100Wに設定され、CPU2の動作クロックが2500MHzに設定された際の例である。S101の画面で選択ボタンが押され、最大消費電力として100Wが選択され、CPU2の動作クロックとして2500MHzが選択されたとする(S1001)。設定値決定部24は、選択されたデバイスの消費電力の合計値を算出する(S1002)。そして、算出したデバイスの消費電力の合計値が、選択された最大消費電力以下であるか否かを判定する(S1003)。
 算出したデバイスの消費電力の合計値が選択された最大消費電力よりも大きい場合、設定値決定部24は、S1001で受け付けた選択操作をキャンセルする。一方、算出したデバイスの消費電力の合計値が選択された最大消費電力以下であれば、設定値決定部24は、選択不能な選択肢を抽出する(S1005)。
 例えば、CPU2の動作クロックとして2500MHzが選択されていたら、ビデオカード3と主メモリ4が使える残りの電力は、設定された最大消費電力からCPU2の電力とベース電力を減算した値であるため、40Wになる。ここで、主メモリ4の最低消費電力は15Wであるため、ビデオカード3に割当可能な電力の最大値は25Wになる。よって、選択不能な選択肢として、ビデオカード3の動作クロックの選択肢である600MHzと500MHzが抽出される。また、ビデオカード3の最低消費電力は20Wであるため、主メモリ4に割当可能な電力の最大値は20Wになる。よって、主メモリ4の動作クロックの選択肢である1333MHzが選択不能な選択肢として抽出される。
 設定値決定部24は、抽出したこれらの選択肢をモニタ8にグレー表示させる(S1006)。すなわち、図6に示すように、ビデオカード3の動作クロックの選択肢である600MHzと500MHz、及び主メモリ4の動作クロックの選択肢である1333MHzをグレー表示させる。このように、設定値決定部24が、図6の設定画面の選択ボタンが押される度に消費電力の合計値を算出して選択不能な選択肢を抽出し、これをモニタ8にグレー表示させることで、ユーザが選択不能な選択肢を選ぶことが無くなる。なお、決定ボタンが押されたら(S1007)、図4のステップS106以降の処理が実行される。
 このように、選択不能な選択肢をグレー表示するようにすれば、選択不能な選択肢をユーザに選択させることがないので、設定変更操作がしやすくなる。以下、図4の処理フローの説明に戻る。
 設定値決定部24は、ステップS104で否定判定を行った場合、エラー画面をモニタ8に表示させる(S105)。図8は、設定値決定部24がモニタ8に表示させるエラー画面の一例である。設定値決定部24は、ステップS104で否定判定を行った場合、図8に示すように、コンピュータ1の消費電力が設定された最大消費電力を超えている旨のエラーメッセージをモニタ8に表示させる。確認ボタンが押されたら、再びステップS101の処理に戻る。すなわち、最大消費電力設定部22がコンピュータ1の最大消費電力の設定画面を生成し、動作設定選択部21が各デバイスの動作設定の画面を生成する(S101)。
 設定値決定部24は、ステップS104で肯定判定を行った場合、確認画面をモニタ8に表示させる(S106)。図9は、設定値決定部24がモニタ8に表示させる確認画面の一例である。設定値決定部24は、ステップS104で肯定判定を行った場合、図9に示すように、ステップS103の処理で算出した消費電力の値と、設定変更を実行するか否かの確認メッセージをモニタ8に表示させる。キャンセルボタンが押されたら、再びステップS101の処理に戻る。一方、確認ボタンが押されたら、設定値決定部24は、動作設定部25に各デバイスの動作クロックの設定情報を引き渡す。
 動作設定部25は、設定値決定部24から各デバイスの動作クロックの設定値の情報が引き渡されたら、BIOSの更新処理を行う(S108)。すなわち、動作設定部25は、不揮発性メモリ27にアクセスし、設定値決定部24から引き渡された各デバイスの動作クロックの設定情報を、不揮発性メモリ27に格納されたBIOSが持つ各デバイスの動作クロックの設定情報に上書きする。
 動作設定部25は、BIOSの更新処理が終了したら、図10に示すように、コンピュータ1の再起動を促す画面をモニタ8に表示させる(S109)。
 動作設定部25は、コンピュータ1の再起動を促す画面をモニタ8に表示させたら、ユーザ操作があるまで待機する(S110)。キャンセルボタンが押されたら、デバイス設定プログラムを終了する。一方、承認ボタンが押されたら、コンピュータ1に再起動処理を開始させたのち(S111)、デバイス設定プログラムを終了する。
 デバイス設定プログラムによって実現される上記一連の処理により、コンピュータ1のBIOSが更新されると、コンピュータ1が再び起動した際、各デバイスがユーザの設定したクロックで動作する。すなわち、コンピュータ1が再起動され、或いは電源がオンになると、CPU2が不揮発性メモリ27に格納されている更新後のBIOSを読み出して実行する。更新後のBIOSが実行されると、CPUレジスタ2Aやビデオカードコントローラ3A、メモリコントローラ4Aが有している動作クロックの設定を保持するコントロールレジスタに、ユーザが設定した各デバイスの動作クロックに関する情報が設定される。これにより、CPU2や主メモリ4、ビデオカード3といった各デバイスの動作クロックは、ユーザが設定したクロックで動作することになる。この結果、設定された最大消費電力の範囲内で、ユーザが望む処理を優先させた動作が実現されるようになる。例えば、図5に示す例であれば、最大消費電力を100W以下に制限しつつグラフィック表示の速度を重視した動作が実現される。すなわち、本実施形態によれば、特定のデバイスの負荷が高い状況においても、ユーザの作業内容や意向に配慮した最大消費電力の抑制が実現できる。
 なお、上記実施形態では、最大消費電力や各デバイスの設定を4段階にしていたが、3段階以下にしてもよいし、5段階以上にしてもよい。また、最大消費電力や各デバイスの選択肢として示す電力や周波数は、図3や図5、図6に示すものに限定されるものでなく、消費可能な最大電力量や動作可能な周波数の範囲内で適宜変えてもよい。
 また、上記実施形態では、最大消費電力や各デバイスの設定を段階的に行なう無段階にしてもよい。この場合、図3に示す消費電力テーブルは、各デバイスの消費電力と動作クロックとの相関を段階的に定義するものではなく、例えば、各デバイスの消費電力と動作クロックとの相関をグラフのようなもので無段階に定義するものが好ましい。また、図5に示す設定画面は、最大消費電力や各デバイスの動作クロックを段階的に選択するためのボタンを配したものではなく、スライダーやスライダーの位置に応じてクロック周波数や消費電力を示す目盛り等を配したものであることが好ましい。
 また、上記実施形態では、CPU2、ビデオカード3、主メモリ4の3つのデバイスについて、動作クロックを変更していたが、動作クロックを変更すると消費電力が変わるものであれば、如何なるデバイスであってもよい。
 また、上記実施形態では、CPU2やビデオカード3、主メモリ4のコントローラのレジスタ値を変更することで、各デバイスの動作クロックを変更していたが、各デバイスの動作速度の変更はこのような態様に限定されるものではない。例えば、各デバイスに接続するバスのクロックあるいは転送速度を変更することで、各デバイスの速度を変更するものであってもよい。
 なお、上記デバイス設定プログラムは、以下に示すようなものであってもよい。本変形例に係るデバイス設定プログラムによってコンピュータ1内に実現される機能ブロックを図11に示す。
 図11に示す機能ブロックのうち、既述したものと同一のものについてはその説明を省略する。本変形例に係るデバイス設定プログラムが実行されると、コンピュータ1の内部には、動作設定選択部21や最大消費電力設定部22、消費電力テーブル23、設定値決定部24、動作設定部25等の既述した各種機能ブロックの他に、利用度測定部26が実現される。
 利用度測定部26は、CPU2やビデオカード3、主メモリ4といった各デバイスの利用度(負荷率ということもできる)を測定する。すなわち、利用度測定部26は、CPU2やビデオカード3、主メモリ4といった各デバイスの利用度を一定間隔でログに記録する。各デバイスの利用度とは、ある一定時間内に当該デバイスの論理回路が実動作したクロック数を、一定時間内に計数されるクロック数で除算することで得られる値である。このような各デバイスの利用度は、コンピュータ1のオペレーティングシステムが持つパフォーマンスツールで測定してもよいし、コンピュータ1を製造したメーカ以外の者が作成したサードパーティ製の測定ツールで測定してもよい。利用度測定部26は、各デバイスの利用度を例えば一秒毎に測定してログに記録する。ログは、主メモリ4や図示しないハードディスクといった不揮発性の記憶媒体に保存される。
 図11に示す各機能ブロックが協働して実現する処理フローを図12に示す。ユーザが、デバイス設定プログラムの実行を開始すると、最大消費電力設定部22がコンピュータ1の最大消費電力の設定画面を生成し、利用度測定部26がログの単位の設定画面を生成する(S201)。図13は、最大消費電力設定部22と利用度測定部26によって生成され、モニタ8に表示される画面の一例である。最大消費電力設定部22は、図13に示すように、コンピュータ1の最大消費電力の選択肢を4つ提示する。また、利用度測定部26は、図13に示すように、各デバイスの利用率の決定に際して参照するログの期間の選択肢を4つ提示する。
 ここで、何れの選択肢のボタンも押されないまま確認ボタンが押されると(S202)、既述したステップS106からステップS111までの処理と同様の処理が実行されて、BIOSが持つ各デバイスの動作クロックの設定情報の更新やコンピュータ1の再起動が行われる。なお、図13に示す画面の一例では、最大消費電力が100Wに設定され、ログの単位が1週間に設定されているが、何れの選択肢のボタンも押されない場合は、最大消費電力がデフォルト値として150Wに設定され、ログの単位がデフォルト値として1時間に設定されているものとする。
 一方、図12の画面で何れかの選択肢のボタンが押されると(S202)、各デバイスの利用度の相対値を決定する(S203)。設定値決定部24は、各デバイスの利用度の相対値を決定するにあたり、利用度測定部26から各デバイスの利用度に関する情報を取得する。設定値決定部24は、利用度の情報の取得に際して、図12の画面で設定されたログの単位分のログの利用度の情報を利用度測定部26から得る。例えば、図13に示すようにログの単位が1日に設定されたら、設定値決定部24は、1日分のログの利用度の情報を利用度測定部26から得る。なお、利用度測定部26は、ログがユーザの設定した単位に満たない分しか残っていない場合、デフォルト値として既定の初期値を設定値決定部24に返す。初期値は、例えば、各デバイスを均等に利用している場合を想定した一律の利用度である100%であってもよいし、デバイス毎に異なる利用度であってもよい。
 次に、設定値決定部24は、利用度測定部26から得た利用度の情報に基づき、各デバイスの利用度の相対値を決定する。利用度の相対値は、各デバイスの利用度の合計値に対する特定のデバイスの利用度の割合を表したものである。例えば、ビデオカード3の利用度の相対値であれば、以下の数式で表される。
数式1:ビデオカード3の利用度の相対値=((ビデオカード3の利用度/(CPU2の利用度+ビデオカード3の利用度+主メモリ4の利用度))
 CPU2とビデオカード3と主メモリ4の利用度がそれぞれ80%、70%、60%であれば、ビデオカード3の利用度の相対値Rv1Vは(70%/(70%+80%+60%))=0.33であり、CPU2の利用度の相対値Rv1Cは0.38、主メモリ4の利用度の相対値Rv1Mは0.28となる。
 設定値決定部24は、次に、各デバイスの消費電力の相対値を決定する。各デバイスの消費電力は利用度が同じでも消費電力が相違する。ここでは、各デバイスの最大消費電力から、各デバイスの消費電力の相対値を決定する。すなわち、消費電力の相対値は、各デバイスの最大消費電力の合計値に対する特定のデバイスの最大消費電力の割合を表したものである。例えば、ビデオカード3の消費電力の相対値であれば、以下の数式で表される。
数式2:ビデオカード3の消費電力の相対値=((ビデオカード3の最大消費電力/(CPU2の最大消費電力+ビデオカード3の最大消費電力+主メモリ4の最大消費電力))
 消費電力テーブル23に示されるように、CPU2とビデオカード3と主メモリ4の最大消費電力がそれぞれ60W、35W、22Wであれば、ビデオカード3の消費電力の相対値Rv2Vは(35W/(35W+60W+22W))=0.29であり、CPU2の消費電力の相対値Rv2Cは0.51、主メモリ4の消費電力の相対値Rv2Mは0.18となる。
 設定値決定部24は、次に、利用度の相対値と消費電力の相対値とをそれぞれ合計し、各デバイスの最終的な相対値を決定する。最終的な相対値は、負荷率と最大消費電力の相対値の合計値に対する全デバイスの負荷率と最大消費電力の相対値の合計値の割合を表したものである。例えば、ビデオカード3の最終的な相対値であれば、以下の数式で表される。
数式3:ビデオカード3の最終的な相対値=((ビデオカード3の負荷率の相対値+ビデオカード3の最大消費電力の相対値)/(ビデオカード3、CPU2、主メモリ4の負荷率の相対値の合計+ビデオカード3、CPU2、主メモリ4の最大消費電力の相対値の合計))
 上述した数式1と数式2より算出した各デバイスの利用度の相対値と消費電力の相対値を数式3に代入する。例えば、ビデオカード3の最終的な相対値Rv3Vは((0.33+0.29)/((0.33+0.38+0.28)+(0.29+0.51+0.18)))=0.31となり、CPU2の最終的な相対値Rv3Cは0.44、主メモリ4の最終的な相対値Rv3Mは0.23となる。
 設定値決定部24は、各デバイスの最終的な相対値を算出したら、CPU2やビデオカード3、主メモリ4に割当可能な電力の合計値からこの相対値を乗算した値を、各デバイスに割当可能な電力として算出する(S204)。ここで、設定値決定部24は、各デバイスに割り当てる電力を決定するにあたり、図13の画面で設定された最大消費電力の範囲内で割当を決定する。例えば、最大消費電力が100Wに設定されていたら、全デバイスの電力がこの範囲内になるように各デバイスの電力を割り当てる。最大消費電力が100Wに設定されていれば、ベース電力(10W)を差し引いた残りの電力、換言すると、各デバイスに割当可能な電力の合計値は90Wであるため、これに最終的な相対値を乗算した値を各デバイスに設定する電力とする。各デバイスの最終的な相対値の合計値が1なので、各デバイスに設定する電力をこのように決定することで、各デバイスの消費電力の合計値が既定の上限値の範囲内に収まることになる。例えば、ビデオカード3であれば最終的な相対値Rv3Vは0.31なのでビデオカード3に割当可能な電力PVは90W×0.31=28.4Wであり、CPU2に割当可能な電力PCは40.2W、主メモリ4に割当可能な電力PMは21.3Wになる。
 設定値決定部24は、このように各デバイスの利用率から各デバイスの重みを算出し、各デバイスの重みに見合う動作クロックをデバイス毎に決定する。各デバイスの動作クロックは、割り当てた電力を超えない範囲で設定される。例えば、ビデオカード3であれば、割り当てられた28.4Wを超えない範囲の消費電力である400MHz(25W)が選択される。設定値決定部24は、ビデオカード3と同様、CPU2について2000MHz(40W)、主メモリ4について1066MHz(20W)を選択する。
 上記ステップS203からS204の処理が行われた後、図13に示す設定画面の確認ボタンが押されると(S202)、既述したステップS106からステップS111までの処理と同様の処理が実行され、BIOSの更新やコンピュータ1の再起動が行われる。ステップS108で実行される処理は、各デバイスの動作クロックを規定するBIOSの情報が、ステップS204の処理で設定値決定部24が決定した動作クロックとなるように、BIOSの更新処理が行われる。
 本変形例に係るデバイス設定プログラムによって実現される上記一連の処理により、コンピュータ1のBIOSが持つ各デバイスの動作クロックの設定情報が更新されると、コンピュータ1が再び起動した際、BIOSの設定情報に基づき、各デバイスの動作クロックが設定され、各デバイスが直近の利用度に応じたクロックで動作する。すなわち、CPU2や主メモリ4、ビデオカード3といった各デバイスの動作クロックが、ログに基づく最近のユーザの利用度に鑑みたクロックで動作することになる。この結果、設定された最大消費電力の範囲内で、ユーザが頻繁に行う処理を優先させた動作が実現されるようになる。すなわち、本変形例によれば、特定のデバイスの負荷が高い状況においても、ユーザの作業内容や意向に配慮した最大消費電力の抑制が実現できる。ユーザによっては、自身の作業内容に応じた各デバイスに必要な動作クロックが明確に分からない場合もある。しかし、本変形例であれば、各デバイスの利用状況(負荷率)の測定結果により各デバイスに必要な動作クロックを自動的に決定している。このため、自身の作業内容に応じた各デバイスに必要な動作クロックが明確に分からないユーザが使う場合であっても、ユーザの作業内容に配慮した最大消費電力の抑制が実現できる。
 なお、本願で開示するデバイス設定プログラムは、ユーザ自身が各デバイスの動作クロックを設定する上記実施形態に係るプログラムと、利用状況により自動的に各デバイスの動作クロックを設定する上記変形例に係るプログラムとを組み合わせたものであってもよい。例えば、プログラムを起動したら、利用状況により自動的に各デバイスの動作クロックの設定を決定してユーザにモニタ上で提示したのち、ユーザ自身が各デバイスの動作クロックを設定できるようなものであってもよい。
 また、上記変形例では、設定値決定部24が行う割当電力の決定プロセスは、相対値を利用したが、次のようなものであってもよい。例えば、CPU2、ビデオカード3、主メモリ4の利用度がそれぞれ60%、100%、50%であったとする。設定値決定部24は、各デバイスの動作クロックを、暫定的に次のように決定する。すなわち、CPU2の動作クロックは定格の約60%の能力となる2000MHz、ビデオカード3の動作クロックは定格能力である600MHz、主メモリ4の動作クロックは定格の約50%の能力となる665MHzに、暫定的に決定する。ここで、設定値決定部24は、暫定的に決定した各デバイスの動作クロックと消費電力テーブル23との相関から、CPU2とビデオカード3と主メモリ4の消費電力の合計値を算出する。この例では、CPU2の消費電力が40Wであり、ビデオカード3の消費電力が35Wであり、主メモリ4の消費電力が15Wであるため、消費電力の合計値は90Wとなる。設定値決定部24は、算出した消費電力の合計値が設定されている最大消費電力以下であれば、暫定的に決定した設定状態を囲み枠で示す設定画面を生成し、モニタ8に再び表示させる。
 一方、設定値決定部24は、最大消費電力が例えば75Wに設定されていた場合のように、算出した消費電力の合計値が設定されている最大消費電力よりも高ければ、暫定的に決定した各デバイスの動作クロックを1つずつ下げる。設定値決定部24は、暫定的に決定した各デバイスの動作クロックを、上述のように、CPU2の動作クロックを2000MHzにしていた場合は1500MHzに、ビデオカード3の動作クロックを600MHzにしていた場合は500MHzに、主メモリ4の動作クロックを665MHzにしていた場合はそれ以上下げられないのでそのままにする。そして、各デバイスの消費電力の合計値を再度算出し、設定されている最大消費電力以下であるか否かの判定を行なう。この処理は、算出される各デバイスの消費電力の合計値が設定されている最大消費電力以下になるまで繰り返す。設定値決定部24は、各デバイスの消費電力の合計値が設定されている最大消費電力以下になれば、暫定的に決定した設定状態を囲み枠で示す設定画面を生成し、モニタ8に再び表示させる。
 設定値決定部24が行う割当電力の決定プロセスは、このようにして決定されたものであっても、コンピュータ1の再起動後、BIOSの設定情報に基づき、各デバイスの動作クロックが設定され、各デバイスが直近の利用度に応じたクロックで動作する。この結果、設定された最大消費電力の範囲内で、ユーザが頻繁に行う処理を優先させた動作が実現される。
 なお、上記実施形態や各変形例に係るデバイス設定プログラムは、コンピュータ読み取り可能な記録媒体に記録されていてもよい。このような記録媒体のプログラムをコンピュータに読み込ませて実行させることにより、上記各機能を提供させることができる。ここで、コンピュータ読み取り可能な記録媒体とは、データやプログラム等の情報を電気的、磁気的、光学的、機械的、または化学的作用によって蓄積し、コンピュータ等から読み取ることができる記録媒体をいう。このような記録媒体のうちコンピュータ等から取り外し可能なものとしては、例えばフレキシブルディスク、光磁気ディスク、CD-ROM、CD-R/W、DVD、DAT、8mmテープ、メモリカード等がある。また、コンピュータ等に固定された記録媒体としてハードディスクやROM(リードオンリーメモリ)等がある。
1・・コンピュータ
2・・CPU
2A・・CPUレジスタ
3・・ビデオカード
3A・・ビデオカードコントローラ
4・・主メモリ
4A・・メモリコントローラ
5・・チップセット
6・・クロックジェネレータ
7・・キーボード
8・・モニタ
9・・CPUバス
10・・グラフィックバス
11・・メモリバス
21・・動作設定選択部
22・・最大消費電力設定部
23・・消費電力テーブル
24・・設定値決定部
25・・動作設定部
26・・利用度測定部
27・・不揮発性メモリ

Claims (6)

  1.  複数のデバイスが協働して情報を処理する情報処理装置で実行されるデバイス設定プログラムであって、
     前記情報処理装置に、
     前記各デバイスの動作速度と消費電力との相関関係を動作速度に応じて定義した相関テーブルと、前記情報処理装置に対して任意に設定された既定の消費電力とに基づいて確定する、該各デバイスの総消費電力が該既定の消費電力を超えない範囲内で、該各デバイスの動作速度の変更操作を受け付ける処理と、
     前記変更操作を受け付けたら、前記各デバイスの動作速度を制御する際に参照される該各デバイスの動作速度を規定する速度情報を更新する処理と、を実行させる、
     デバイス設定プログラム。
  2.  前記情報処理装置に、
     前記各デバイスに用意されている複数の動作速度の選択肢のうち何れかの動作速度の選択操作を受け付ける処理を更に実行させる、
     請求項1に記載のデバイス設定プログラム。
  3.  前記情報処理装置に、
     前記各デバイスの稼働率に応じて各デバイスの動作速度を決定する処理を更に実行させる、
     請求項1または2に記載のデバイス設定プログラム。
  4.  前記情報処理装置に、
     前記既定の消費電力の変更操作を受け付ける処理を更に実行させる、
     請求項1から3の何れか一項に記載のデバイス設定プログラム。
  5.  複数のデバイスが協働して情報を処理する情報処理装置が実行するデバイス設定方法であって、
     前記情報処理装置が、
     前記各デバイスの動作速度と消費電力との相関関係を動作速度に応じて定義した相関テーブルと、前記情報処理装置に対して任意に設定された既定の消費電力とに基づいて確定する、該各デバイスの総消費電力が該既定の消費電力を超えない範囲内で、該各デバイスの動作速度の変更操作を受け付ける処理と、
     前記変更操作を受け付けたら、前記各デバイスの動作速度を制御する際に参照される該各デバイスの動作速度を規定する速度情報を更新する処理と、を実行する、
     デバイス設定方法。
  6.  複数のデバイスが協働して情報を処理する情報処理装置であって、
     前記各デバイスの動作速度と消費電力との相関関係を動作速度に応じて定義した相関テーブルと、前記情報処理装置に対して任意に設定された既定の消費電力とに基づいて確定する、該各デバイスの総消費電力が該既定の消費電力を超えない範囲内で、該各デバイスの動作速度の変更操作を受け付ける受付手段と、
     前記変更操作を受け付けたら、前記各デバイスの動作速度を制御する際に参照される該各デバイスの動作速度を規定する速度情報を更新する更新手段と、として機能する、
     情報処理装置。
PCT/JP2010/054356 2010-03-15 2010-03-15 デバイス設定プログラム、方法、及び情報処理装置 WO2011114427A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2012505338A JP5447648B2 (ja) 2010-03-15 2010-03-15 デバイス設定プログラム、方法、及び情報処理装置
PCT/JP2010/054356 WO2011114427A1 (ja) 2010-03-15 2010-03-15 デバイス設定プログラム、方法、及び情報処理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2010/054356 WO2011114427A1 (ja) 2010-03-15 2010-03-15 デバイス設定プログラム、方法、及び情報処理装置

Publications (1)

Publication Number Publication Date
WO2011114427A1 true WO2011114427A1 (ja) 2011-09-22

Family

ID=44648559

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/054356 WO2011114427A1 (ja) 2010-03-15 2010-03-15 デバイス設定プログラム、方法、及び情報処理装置

Country Status (2)

Country Link
JP (1) JP5447648B2 (ja)
WO (1) WO2011114427A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013206162A (ja) * 2012-03-28 2013-10-07 Nec Corp 消費電力制御装置、情報処理装置、消費電力制御方法、及びプログラム
JP2015022325A (ja) * 2013-07-16 2015-02-02 日本電信電話株式会社 電力消費量算出装置および方法
CN105843359A (zh) * 2016-03-21 2016-08-10 联想(北京)有限公司 信息处理的方法和装置
US11822972B2 (en) 2021-08-24 2023-11-21 Fujitsu Limited Information processing apparatus and management method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07104883A (ja) * 1993-10-08 1995-04-21 Nec Corp Cpu稼働率に基づいた省電力方式
JPH08152945A (ja) * 1994-11-28 1996-06-11 Nec Corp 消費電力管理装置
JPH1091268A (ja) * 1996-09-11 1998-04-10 Sony Corp 半導体回路のクロック周波数制御方法およびデータ処理装置
JP2005071366A (ja) * 2003-08-22 2005-03-17 Hewlett-Packard Development Co Lp デバイス負荷に基づいたバスクロック周波数管理
JP2008226032A (ja) * 2007-03-14 2008-09-25 Sharp Corp サーバ及び印刷システム

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006072597A (ja) * 2004-09-01 2006-03-16 Seiko Epson Corp データ処理装置及びデータ処理方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07104883A (ja) * 1993-10-08 1995-04-21 Nec Corp Cpu稼働率に基づいた省電力方式
JPH08152945A (ja) * 1994-11-28 1996-06-11 Nec Corp 消費電力管理装置
JPH1091268A (ja) * 1996-09-11 1998-04-10 Sony Corp 半導体回路のクロック周波数制御方法およびデータ処理装置
JP2005071366A (ja) * 2003-08-22 2005-03-17 Hewlett-Packard Development Co Lp デバイス負荷に基づいたバスクロック周波数管理
JP2008226032A (ja) * 2007-03-14 2008-09-25 Sharp Corp サーバ及び印刷システム

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013206162A (ja) * 2012-03-28 2013-10-07 Nec Corp 消費電力制御装置、情報処理装置、消費電力制御方法、及びプログラム
JP2015022325A (ja) * 2013-07-16 2015-02-02 日本電信電話株式会社 電力消費量算出装置および方法
CN105843359A (zh) * 2016-03-21 2016-08-10 联想(北京)有限公司 信息处理的方法和装置
US11822972B2 (en) 2021-08-24 2023-11-21 Fujitsu Limited Information processing apparatus and management method

Also Published As

Publication number Publication date
JP5447648B2 (ja) 2014-03-19
JPWO2011114427A1 (ja) 2013-06-27

Similar Documents

Publication Publication Date Title
US11513585B1 (en) Power management for a graphics processing unit or other circuit
US8914661B2 (en) Multicore processor power credit management in which multiple processing cores use shared memory to communicate individual energy consumption
KR101991682B1 (ko) Dvfs 제어 방법 및 이를 이용한 시스템-온 칩
JP4380986B2 (ja) クロック制御装置及びその記録媒体
US6961859B2 (en) Computing device having programmable state transitions
JP3352090B2 (ja) コンポーネントが消費する電力をユーザから入力される動作時間に応じて自動的に制御する電子機器
JP4123640B2 (ja) 情報処理システム及びその制御方法、タスク割当て制御方法及び制御装置、並びにプログラム提供媒体
CN101622588B (zh) 动态功耗降低
US7389439B2 (en) Method and apparatus for managing power of portable computer system
US7596705B2 (en) Automatically controlling processor mode of multi-core processor
US8028179B2 (en) Determining expected exceeding of maximum allowed power consumption of a mobile electronic device
JP2004240555A (ja) バッテリ運用制御装置、バッテリ運用制御方法およびバッテリ運用制御プログラム
TW201234169A (en) Coordinating performance parameters in multiple circuits
JP2010079726A (ja) 動的再構成支援プログラム、動的再構成支援装置および動的再構成支援方法
CN105359057A (zh) 设置计算机参数使得电源工作在基于电源的功率效率峰值的范围内
JP2005285093A (ja) プロセッサ電力制御装置及びプロセッサ電力制御方法
JP6409240B1 (ja) 情報処理装置、制御方法、及びプログラム
JP5447648B2 (ja) デバイス設定プログラム、方法、及び情報処理装置
US10275007B2 (en) Performance management for a multiple-CPU platform
JP2000214238A (ja) バッテリ駆動予想時間算出装置及びバッテリ駆動予想時間算出方法
WO2013126499A1 (en) System and method for managing electrical current in a portable computing device
JP6070321B2 (ja) 携帯情報端末、制御方法、制御プログラム
JP6918995B2 (ja) 情報処理装置、及び制御方法
CN110148992B (zh) 一种充电控制方法、终端设备及存储介质
CN103677881A (zh) 一种控制电子设备的方法及电子设备

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10847843

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2012505338

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10847843

Country of ref document: EP

Kind code of ref document: A1