WO2011081645A2 - Adjusting substrate temperature to improve cd uniformity - Google Patents

Adjusting substrate temperature to improve cd uniformity Download PDF

Info

Publication number
WO2011081645A2
WO2011081645A2 PCT/US2010/003149 US2010003149W WO2011081645A2 WO 2011081645 A2 WO2011081645 A2 WO 2011081645A2 US 2010003149 W US2010003149 W US 2010003149W WO 2011081645 A2 WO2011081645 A2 WO 2011081645A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
parameters
plasma etching
heater
etch
Prior art date
Application number
PCT/US2010/003149
Other languages
French (fr)
Other versions
WO2011081645A3 (en
Inventor
Keith William Gaff
Harmeet Singh
Keith Comendant
Vahid Vahedi
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to SG2012035671A priority Critical patent/SG180882A1/en
Priority to KR1020167020421A priority patent/KR101841378B1/en
Priority to CN201080055995.1A priority patent/CN102652352B/en
Priority to JP2012544478A priority patent/JP6066728B2/en
Publication of WO2011081645A2 publication Critical patent/WO2011081645A2/en
Publication of WO2011081645A3 publication Critical patent/WO2011081645A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • substrates e.g., wafers
  • Semiconductor substrate materials such as silicon substrates
  • These techniques include non plasma applications such as electron beam deposition, as well as plasma applications, such as sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), resist strip, and plasma etch.
  • PECVD plasma-enhanced chemical vapor deposition
  • Plasma etching systems available today are among those semiconductor fabrication tools which are subject to an increasing need for improved accuracy and repeatability.
  • One metric for plasma etching systems is increased uniformity, which includes uniformity of process results on a semiconductor substrate surface as well as uniformity of process results of a succession of substrates processed with nominally the same input parameters. Continuous improvement of within-substrate uniformity is desirable. Among other things, this calls for plasma chambers with improved uniformity, consistency and self diagnostics.
  • a method of using a plasma etching system comprising a substrate support assembly for supporting a substrate during plasma etching, the substrate support assembly comprising a plurality of independently controllable heater zones in an arrangement under device die locations on the substrate, and a controller unit that controls each heater zone.
  • the method comprises (a) measuring pre-etch, or post-etch critical device parameters from previously etched substrate, on the device die locations of the substrate on the substrate; (b) communicating the pre- etch or post-etch critical device parameters to the plasma etching system;
  • a substrate support assembly may be configured for a variety of functions during processing, such as supporting the substrate, tuning the substrate temperature, and supplying radio frequency power.
  • the substrate support assembly can comprise an electrostatic chuck (ESC) useful for electrostatically clamping a substrate onto the substrate support assembly during processing.
  • the ESC may be a tunable ESC (T-ESC).
  • T-ESC is described in commonly assigned U.S. Patent Nos. 6,847,014 and 6,921,724, which are hereby incorporated by reference.
  • the substrate support assembly may comprise a ceramic substrate holder, a fluid-cooled heat sink
  • cooling plate (hereafter referred to as cooling plate) and a plurality of concentric heater zones to realize step by step and radial temperature control.
  • the cooling plate is maintained between 0 °C and 30 °C.
  • the heaters are located on the cooling plate with a layer of thermal insulator in between.
  • the heaters can maintain the support surface of the substrate support assembly at temperatures about 0 °C to 80 °C above the cooling plate temperature.
  • the substrate support temperature profile can be changed between center hot, center cold, and uniform.
  • the mean substrate support temperature can be changed step by step within the operating range of 0 to 80 °C above the cooling plate temperature.
  • a small azimuthal temperature variation poses increasingly greater challenges as CD decreases with the advance of semiconductor technology.
  • Controlling temperature is not an easy task for several reasons. First, many factors can affect heat transfer, such as the locations of heat sources and heat sinks, the movement, materials and shapes of the media. Second, heat transfer is a dynamic process. Unless the system in question is in heat equilibrium, heat transfer will occur and the temperature profile and heat transfer will change with time.
  • the substrate .temperature profile in a plasma processing apparatus is affected by many factors, such as the plasma density profile, the RF power profile and the detailed structure of the various heating the cooling elements in the electrostatic chuck, hence the substrate temperature profile is often not uniform and difficult to control with a small number of heating or cooling elements. This deficiency translates to non-uniformity in the processing rate across the whole substrate and non-uniformity in the critical dimension of the device dies on the substrate.
  • Non-uniformity in the critical dimension can be caused by upstream processes, for example, photolithography. Because of the parallel nature of photolithography (i.e. all device dies on a substrate are exposed together) and factors difficult to control such as light source non-uniformity, diffraction on photomasks, non-uniformity in temperature, non-uniformity in photoresist thickness, etc., post- lithography and pre-etch substrates usually have non-uniformity in device features. If unchecked and allowed to propagate to downstream processes, such non- uniformity can result in reduced device yield.
  • independently controllable heater zones in the substrate support assembly to enable a plasma etching system to actively create and maintain the target spatial and temporal temperature profile, and to compensate for adverse factors that affect CD uniformity.
  • Described herein is a method of using a plasma etching system having a substrate support assembly with independently controllable heater zones, for compensation for non-uniformity on a substrate to be etched by measuring pre-etch critical device parameters, or post-etch critical device parameters from previously etched substrate, on a plurality of device die locations on the substrate and using the measured information to tune temperatures at predetermined locations on the substrate during etching.
  • a pattern is formed in a resist layer on the substrate.
  • the pattern in the resist layer can have non- uniformity in critical dimensions.
  • Pre-etch critical dimensions in the resist layer on each device die on the substrate can be measured with a suitable tool.
  • the patterned resist layer is used as a mask in later plasma etching of the substrate underneath. Temperature during plasma etching can affect critical dimensions of the etched pattern in the substrate (post-etch critical dimensions). If the pre-etch critical dimensions at a device die location are determined to fall outside of tolerable error from target values, etching temperature of the device die location can be tuned by the heater zones such that the post-etch critical dimensions are within tolerable error from the target values.
  • the measured pre-etch critical dimensions can be used to adjust etching temperature of each device die location to compensate for the particular amount of error in the pre-etch critical dimensions at the device die location.
  • the plasma etching system can have independently controllable heater zones assembled in a heating plate, and a controller unit that controls each heater zone. By tuning the power of each heater zone under control of the controller unit, the temperature profile during processing can be shaped both radially and
  • the heater zones are preferably arranged in a defined pattern, for example, a rectangular grid, a hexagonal grid, or other pattern.
  • Each heater zone of the heating plate is preferably of similar size (e.g. ⁇ 10%) to a single device die on the substrate.
  • power supply and power return lines are arranged such that each power supply line is connected to a different group of heater zones and each power return line is connected to a different group of heater zones with each heater zone being in one of the groups connected to a particular power supply line and one of the groups connected to a particular power return line. No two heater zones are connected to the same pair of power supply and power return lines.
  • a heater zone can be activated by directing electrical current through a pair of power supply and power return lines to which this particular heater zone is connected.
  • the power of the heater elements is preferably smaller than 20W, more preferably 5 to 10 W.
  • the heater elements can be Peltier devices and/or resistive heaters such as polyimide heaters, silicone rubber heaters, mica heaters, metal heaters (e.g. W, Ni/Cr alloy, Mo or Ta), ceramic heaters (e.g. WC), semiconductor heaters or carbon heaters.
  • the heater elements may be screen printed, wire wound or etched foil heaters.
  • the thickness of the heater elements may range from 2 micrometers to 1 millimeter, preferably 5-80 micrometers.
  • the total area of the heater zones may be up to 90% of the area of the upper surface of the substrate support assembly, e.g. 50-90% of the area.
  • the power supply lines or the power return lines may be arranged in gaps ranging from 1 to 10 mm between the heater zones, or in separate planes separated from the heater zones plane by electrically insulating layers.
  • the power supply lines and the power return lines are preferably made as wide as the space allows, in order to carry large current and reduce Joule heating.
  • the power lines can be in the same plane as the heater zones, or can be on different planes than the heater zones.
  • the materials of the power supply and power return lines may be the same as or different from the materials of the heater elements.
  • the materials of the power supply and power return lines are materials with low resistivity, such as Cu, Al, W, Inconel® or Mo.
  • the substrate support assembly is operable to control the substrate temperature and consequently the plasma etching process at each device die location to maximize the yield of devices from the substrate.
  • the plasma etching system preferably has at least 9 heater zones.
  • the plasma etching system is able to receive measured critical device parameters (e.g. pre-etch critical dimensions) at a plurality of device die locations (preferably at least one location in each device die location) on a substrate to be processed therein (pre-etch critical device parameters), from sources such as a human user, an onboard measurement tool, a host network (a network that shares data between processing tools in a processing line) or the like.
  • pre-etch critical device parameters e.g. pre-etch critical dimensions
  • sources such as a human user, an onboard measurement tool, a host network (a network that shares data between processing tools in a processing line) or the like.
  • the plasma etching system receives pre-etch critical device parameters of a batch of substrates to be processed, from an off-board inspection tool via a host
  • Such off-board inspection tool can be an optical and/or electron beam inspection tool.
  • the plasma etching system can have a hardware and/or software interface for receiving the pre-etch critical device parameters.
  • the plasma etching system can have suitable software for processing the pre-etch critical device parameters.
  • the plasma etching system is also able to receive through a hardware and/or software interface and/or load from a memory, process recipe parameters that define dependence of target post-etch critical device parameters on the measured pre-etch critical device parameters and etching temperatures; and to deduce target etching temperature at predetermined locations on the substrate from the process recipe parameters, target post-etch critical device parameters, and measured pre-etch critical device parameters.
  • the plasma etching system is able to receive such process recipe parameters for each process recipe step.
  • the plasma etching system is further able to calculate target control parameters (parameters that can be directly controlled such as power, voltage, current, etc.) for each heater zone based on the target etching temperature of each device die location to achieve the target critical device parameter for each device die.
  • target control parameters parameters that can be directly controlled such as power, voltage, current, etc.
  • the target control parameters can be obtained during manufacture of the substrate support assembly, by measuring response of surface temperatures of the substrate support assembly to different control parameters applied thereto.
  • the target control parameters can be determined by using a theoretical or empirical model such as heat transfer theories or finite element analyses.
  • a steady gain matrix can be used to calculate the target control parameters with direct response of each device die location to a control parameter applied to a heater zone underneath, and with indirect response (crosstalk) of said device die to control parameters applied to other heater zones.
  • a steady gain matrix can be calculated using methods described in Matrix Computation by G. Golub, et al. (The Johns Hopkins University Press, Boston 1996), which is hereby
  • Xi is preferably time-averaged power applied to the i ' -th heater zone.
  • Ti is a target etching temperature at a device die location within the i-th heater zone, which can be written as another vector:
  • the vector T is a function of the vector X.
  • Diagonal elements K can be measured during manufacture of the substrate support assembly or the plasma etching system.
  • Off-diagonal elements Ky (i ⁇ j) can be measured during manufacture of the substrate support assembly or plasma etching system, or derived from a finite element thermal model, the values of diagonal elements and the physical distance between the i-th and j-th heater zones.
  • the matrix K is stored in the plasma etching system.
  • the plasma etching system also has software or firmware functional to execute an algorithm to deduce X based on T.
  • X t is preferably time-averaged power applied to the i-t heater zone.
  • P can be written as another vector:
  • the number m of the locations on the substrate having respective target etching temperatures does not equal the number of heater zones, i.e., m ⁇ n.
  • the locations having temperature response may differ from the locations of the heater zones.
  • the vector P is a function of the vector X.
  • a relationship between the vector P and T can be described by an m-by-n matrix K, wherein
  • Elements Ky can be measured during manufacture of the substrate support assembly or plasma etching system, or derived from a finite element thermal model.
  • the matrix K is stored in the plasma etching system.
  • the plasma etching system also has software or firmware functional to execute an algorithm to deduce X based on T, using the matrix and an optimization algorithm, e.g., a least squares optimization.
  • the optimization algorithm facilitates determination of heater power setpoints by minimizing the differences between predicted temperatures at device die locations and target temperatures at the respective locations on the substrate.
  • the substrate characteristics e.g., CD measurements
  • the locations at which the substrate characteristics are measured may not coincide with the locations at which temperature response for each heater zone is known based on modeling or previous measurements, e.g., during manufacturing. That is, the substrate characteristics measurement locations differ from those used to construct the matrix K. Consequently, the substrate characteristics need to be estimated at the same locations as those used to construct matrix K.
  • a technique such as linear or nonlinear interpolation, can be used to transform the data for the substrate characteristics, e.g. CD measurements, from the substrate characteristics measurement locations to the locations at which the individual heater responses have been modeled/measured during calibration, i.e., the locations used to construct matrix K.
  • control parameters can be dynamically determined by a control circuit (e.g. a PID controller) based on output of
  • temperature sensors e.g. optical sensors, thermal couples, diodes, or the like in each heater zone.

Abstract

Abstract A plasma etching system having a substrate support assembly with multiple independently controllable heater zones. The plasma etching system is configured to control etching temperature of predetermined locations so that pre-etch and/or post-etch non-uniformity of critical device parameters can be compensated for.

Description

ADJUSTING SUBSTRATE TEMPERATURE TO IMPROVE CD
UNIFORMITY
Related Application
[0001] This application claims priority under 35 U.S.C. § 119 to U.S. Provisional Application No. 61/286,653 filed December 15, 2009, the content of which is incorporated herein by reference in its entirety.
Background
[0002] With each successive semiconductor technology generation, diameters of substrates, e.g., wafers, tend to increase and transistor sizes decrease, resulting in the need for an ever higher degree of accuracy and repeatability in substrate processing. Semiconductor substrate materials, such as silicon substrates, are processed by techniques which include the use of vacuum chambers. These techniques include non plasma applications such as electron beam deposition, as well as plasma applications, such as sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), resist strip, and plasma etch.
[0003] Plasma etching systems available today are among those semiconductor fabrication tools which are subject to an increasing need for improved accuracy and repeatability. One metric for plasma etching systems is increased uniformity, which includes uniformity of process results on a semiconductor substrate surface as well as uniformity of process results of a succession of substrates processed with nominally the same input parameters. Continuous improvement of within-substrate uniformity is desirable. Among other things, this calls for plasma chambers with improved uniformity, consistency and self diagnostics.
Summary
[0004] Disclosed herein is a method of using a plasma etching system comprising a substrate support assembly for supporting a substrate during plasma etching, the substrate support assembly comprising a plurality of independently controllable heater zones in an arrangement under device die locations on the substrate, and a controller unit that controls each heater zone. The method comprises (a) measuring pre-etch, or post-etch critical device parameters from previously etched substrate, on the device die locations of the substrate on the substrate; (b) communicating the pre- etch or post-etch critical device parameters to the plasma etching system;
(c) subsequently supporting the substrate on the substrate support assembly;
(d) communicating process recipe parameters to the plasma etching system and/or loading process recipe parameters from a memory to the plasma etching system;
(e) deducing target etching temperatures at pre-determined locations on the substrate from the process recipe parameters, target post-etch critical device parameter data, and the pre-etch critical device parameters from incoming substrates, and/or the post-etch critical device parameters from previously etched substrate; (f) adjusting temperature at each predetermined location based on the target etching temperature at the predetermined location using the controllable heater zones; and (g) plasma etching the substrate.
Detailed Description
[0005] Radial and azimuthal substrate temperature control in a semiconductor processing apparatus to achieve target critical dimension (CD) uniformity on the substrate is becoming more demanding. Even a small variation of temperature may affect CD to an unacceptable degree, especially as CD approaches sub-20nm in semiconductor fabrication processes.
[0006] A substrate support assembly may be configured for a variety of functions during processing, such as supporting the substrate, tuning the substrate temperature, and supplying radio frequency power. The substrate support assembly can comprise an electrostatic chuck (ESC) useful for electrostatically clamping a substrate onto the substrate support assembly during processing. The ESC may be a tunable ESC (T-ESC). A T-ESC is described in commonly assigned U.S. Patent Nos. 6,847,014 and 6,921,724, which are hereby incorporated by reference. The substrate support assembly may comprise a ceramic substrate holder, a fluid-cooled heat sink
(hereafter referred to as cooling plate) and a plurality of concentric heater zones to realize step by step and radial temperature control. Typically, the cooling plate is maintained between 0 °C and 30 °C. The heaters are located on the cooling plate with a layer of thermal insulator in between. The heaters can maintain the support surface of the substrate support assembly at temperatures about 0 °C to 80 °C above the cooling plate temperature. By changing the heater power within the plurality of heater zones, the substrate support temperature profile can be changed between center hot, center cold, and uniform. Further, the mean substrate support temperature can be changed step by step within the operating range of 0 to 80 °C above the cooling plate temperature. A small azimuthal temperature variation poses increasingly greater challenges as CD decreases with the advance of semiconductor technology.
[0007] Controlling temperature is not an easy task for several reasons. First, many factors can affect heat transfer, such as the locations of heat sources and heat sinks, the movement, materials and shapes of the media. Second, heat transfer is a dynamic process. Unless the system in question is in heat equilibrium, heat transfer will occur and the temperature profile and heat transfer will change with time.
Third, non-equilibrium phenomena, such as plasma, which of course is always present in plasma processing, make theoretical prediction of the heat transfer behavior of any practical plasma processing apparatus very difficult if not impossible.
[0008] The substrate .temperature profile in a plasma processing apparatus is affected by many factors, such as the plasma density profile, the RF power profile and the detailed structure of the various heating the cooling elements in the electrostatic chuck, hence the substrate temperature profile is often not uniform and difficult to control with a small number of heating or cooling elements. This deficiency translates to non-uniformity in the processing rate across the whole substrate and non-uniformity in the critical dimension of the device dies on the substrate.
[0009] Non-uniformity in the critical dimension can be caused by upstream processes, for example, photolithography. Because of the parallel nature of photolithography (i.e. all device dies on a substrate are exposed together) and factors difficult to control such as light source non-uniformity, diffraction on photomasks, non-uniformity in temperature, non-uniformity in photoresist thickness, etc., post- lithography and pre-etch substrates usually have non-uniformity in device features. If unchecked and allowed to propagate to downstream processes, such non- uniformity can result in reduced device yield.
[0010] It would be advantageous and desirable to incorporate multiple
independently controllable heater zones in the substrate support assembly to enable a plasma etching system to actively create and maintain the target spatial and temporal temperature profile, and to compensate for adverse factors that affect CD uniformity.
[0011] A substrate support assembly with independently controlled heater zones is described in U.S. Patent Application No. 12/582,991 filed on October 21, 2009, which is hereby incorporated by reference.
[0012] Described herein is a method of using a plasma etching system having a substrate support assembly with independently controllable heater zones, for compensation for non-uniformity on a substrate to be etched by measuring pre-etch critical device parameters, or post-etch critical device parameters from previously etched substrate, on a plurality of device die locations on the substrate and using the measured information to tune temperatures at predetermined locations on the substrate during etching.
[0013] For example, after a substrate undergoes lithography, a pattern is formed in a resist layer on the substrate. The pattern in the resist layer can have non- uniformity in critical dimensions. Pre-etch critical dimensions in the resist layer on each device die on the substrate can be measured with a suitable tool. The patterned resist layer is used as a mask in later plasma etching of the substrate underneath. Temperature during plasma etching can affect critical dimensions of the etched pattern in the substrate (post-etch critical dimensions). If the pre-etch critical dimensions at a device die location are determined to fall outside of tolerable error from target values, etching temperature of the device die location can be tuned by the heater zones such that the post-etch critical dimensions are within tolerable error from the target values. Thus, the measured pre-etch critical dimensions can be used to adjust etching temperature of each device die location to compensate for the particular amount of error in the pre-etch critical dimensions at the device die location.
[0014] The plasma etching system can have independently controllable heater zones assembled in a heating plate, and a controller unit that controls each heater zone. By tuning the power of each heater zone under control of the controller unit, the temperature profile during processing can be shaped both radially and
azimuthally. The heater zones are preferably arranged in a defined pattern, for example, a rectangular grid, a hexagonal grid, or other pattern. Each heater zone of the heating plate is preferably of similar size (e.g. ±10%) to a single device die on the substrate. In an exemplary arrangement, to minimize the number of electrical connections, power supply and power return lines are arranged such that each power supply line is connected to a different group of heater zones and each power return line is connected to a different group of heater zones with each heater zone being in one of the groups connected to a particular power supply line and one of the groups connected to a particular power return line. No two heater zones are connected to the same pair of power supply and power return lines. Thus, a heater zone can be activated by directing electrical current through a pair of power supply and power return lines to which this particular heater zone is connected. The power of the heater elements is preferably smaller than 20W, more preferably 5 to 10 W. The heater elements can be Peltier devices and/or resistive heaters such as polyimide heaters, silicone rubber heaters, mica heaters, metal heaters (e.g. W, Ni/Cr alloy, Mo or Ta), ceramic heaters (e.g. WC), semiconductor heaters or carbon heaters. The heater elements may be screen printed, wire wound or etched foil heaters. The thickness of the heater elements may range from 2 micrometers to 1 millimeter, preferably 5-80 micrometers. To allow space between heater zones and/or power supply and power return lines, the total area of the heater zones may be up to 90% of the area of the upper surface of the substrate support assembly, e.g. 50-90% of the area. The power supply lines or the power return lines (power lines, collectively) may be arranged in gaps ranging from 1 to 10 mm between the heater zones, or in separate planes separated from the heater zones plane by electrically insulating layers. The power supply lines and the power return lines are preferably made as wide as the space allows, in order to carry large current and reduce Joule heating. The power lines can be in the same plane as the heater zones, or can be on different planes than the heater zones. The materials of the power supply and power return lines may be the same as or different from the materials of the heater elements. Preferably, the materials of the power supply and power return lines are materials with low resistivity, such as Cu, Al, W, Inconel® or Mo. The substrate support assembly is operable to control the substrate temperature and consequently the plasma etching process at each device die location to maximize the yield of devices from the substrate. The plasma etching system preferably has at least 9 heater zones.
[0015] In one embodiment, the plasma etching system is able to receive measured critical device parameters (e.g. pre-etch critical dimensions) at a plurality of device die locations (preferably at least one location in each device die location) on a substrate to be processed therein (pre-etch critical device parameters), from sources such as a human user, an onboard measurement tool, a host network (a network that shares data between processing tools in a processing line) or the like. Preferably, the plasma etching system receives pre-etch critical device parameters of a batch of substrates to be processed, from an off-board inspection tool via a host
communication network. Such off-board inspection tool can be an optical and/or electron beam inspection tool. The plasma etching system can have a hardware and/or software interface for receiving the pre-etch critical device parameters. The plasma etching system can have suitable software for processing the pre-etch critical device parameters.
[0016] The plasma etching system is also able to receive through a hardware and/or software interface and/or load from a memory, process recipe parameters that define dependence of target post-etch critical device parameters on the measured pre-etch critical device parameters and etching temperatures; and to deduce target etching temperature at predetermined locations on the substrate from the process recipe parameters, target post-etch critical device parameters, and measured pre-etch critical device parameters. Preferably, the plasma etching system is able to receive such process recipe parameters for each process recipe step.
[0017] Preferably, the plasma etching system is further able to calculate target control parameters (parameters that can be directly controlled such as power, voltage, current, etc.) for each heater zone based on the target etching temperature of each device die location to achieve the target critical device parameter for each device die.
[0018] The target control parameters can be obtained during manufacture of the substrate support assembly, by measuring response of surface temperatures of the substrate support assembly to different control parameters applied thereto.
Alternatively, the target control parameters can be determined by using a theoretical or empirical model such as heat transfer theories or finite element analyses.
[0019] Preferably, a steady gain matrix can be used to calculate the target control parameters with direct response of each device die location to a control parameter applied to a heater zone underneath, and with indirect response (crosstalk) of said device die to control parameters applied to other heater zones. A steady gain matrix can be calculated using methods described in Matrix Computation by G. Golub, et al. (The Johns Hopkins University Press, Boston 1996), which is hereby
incorporated by reference in its entirety.
[0020] In one embodiment, assuming the plasma etching system has n independent heater zones. Their respective control parameter is Xi (i=l , 2, .. ., n). All the control parameters Xi can be written as a vector:
X,
[0021] X =
[0022] wherein Xi is preferably time-averaged power applied to the i'-th heater zone.
[0023] Ti is a target etching temperature at a device die location within the i-th heater zone, which can be written as another vector:
Figure imgf000008_0001
[0025] The vector T is a function of the vector X. A relationship between the vector X and T can described by a n-by-n matrix K, wherein Τ=Κ·Χ. Diagonal elements K , can be measured during manufacture of the substrate support assembly or the plasma etching system. Off-diagonal elements Ky (i≠j) can be measured during manufacture of the substrate support assembly or plasma etching system, or derived from a finite element thermal model, the values of diagonal elements and the physical distance between the i-th and j-th heater zones. The matrix K is stored in the plasma etching system. The plasma etching system also has software or firmware functional to execute an algorithm to deduce X based on T. The algorithm is a matrix inversion followed by a matrix multiplication, i.e. Χ=Κ~1·Τ.
[0026] In another embodiment, assuming the plasma etching system has n independent heater zones. Their respective control parameter is Xi (z=l, 2, . . ., n). All the control parameters Xi can be written as a vector:
X,
[0027] X =
[0028] wherein Xt is preferably time-averaged power applied to the i-t heater zone.
[0029] P={Pj } is a set of predicated etching temperatures at predetermined locations on the substrate at which the temperature response to each heater zone is known based on prior modeling or calibration measurements. P can be written as another vector:
Figure imgf000009_0001
[0031] T={Tj } are a set of target etching temperatures at the same predetermined locations on the substrate. can be written as another vector:
Figure imgf000009_0002
[0033] In this embodiment, the number m of the locations on the substrate having respective target etching temperatures does not equal the number of heater zones, i.e., m≠n. The locations having temperature response may differ from the locations of the heater zones. The vector P is a function of the vector X. A relationship between the vector P and T can be described by an m-by-n matrix K, wherein
Ρ=Κ·Χ. Elements Ky can be measured during manufacture of the substrate support assembly or plasma etching system, or derived from a finite element thermal model. The matrix K is stored in the plasma etching system. The plasma etching system also has software or firmware functional to execute an algorithm to deduce X based on T, using the matrix and an optimization algorithm, e.g., a least squares optimization. The optimization algorithm facilitates determination of heater power setpoints by minimizing the differences between predicted temperatures at device die locations and target temperatures at the respective locations on the substrate.
[0034] In the above embodiments, the location at which the substrate
characteristics, e.g., CD measurements, are measured may differ from the number of heater zones. In addition, the locations at which the substrate characteristics are measured may not coincide with the locations at which temperature response for each heater zone is known based on modeling or previous measurements, e.g., during manufacturing. That is, the substrate characteristics measurement locations differ from those used to construct the matrix K. Consequently, the substrate characteristics need to be estimated at the same locations as those used to construct matrix K. In a preferred embodiment, a technique, such as linear or nonlinear interpolation, can be used to transform the data for the substrate characteristics, e.g. CD measurements, from the substrate characteristics measurement locations to the locations at which the individual heater responses have been modeled/measured during calibration, i.e., the locations used to construct matrix K.
[0035] In an alternative embodiment, the control parameters can be dynamically determined by a control circuit (e.g. a PID controller) based on output of
temperature sensors (e.g. optical sensors, thermal couples, diodes, or the like) in each heater zone.
[0036] While the method of using a plasma etching system has been described in detail with reference to specific embodiments thereof, it will be apparent to those skilled in the art that various changes and modifications can be made, and equivalents employed, without departing from the scope of the appended claims.

Claims

We Claim:
1. A method of using a plasma etching system, the plasma etching system comprising a substrate support assembly for supporting a substrate during plasma etching, the substrate support assembly comprising a plurality of independently controllable heater zones in an arrangement under device die locations on the substrate, and a controller unit that controls each heater zone; the method comprising:
measuring pre-etch critical device parameters on a plurality of device die locations on the substrate;
communicating at least one of the pre-etch critical device parameters and post-etch critical device parameters from previously etched substrate to the plasma etching system;
subsequently supporting the substrate on the substrate support assembly; communicating process recipe parameters to the plasma etching system and/or loading process recipe parameters from a memory to the plasma etching system;
deducing a target etching temperature for predetermined locations on the substrate from at least one of the process recipe parameters, target post-etch critical device parameters, the pre-etch critical device parameters, and the post-etch critical device parameters;
adjusting temperature of each device die location to its target etching temperature, using the controllable heater zones; and
plasma etching the substrate.
2. The method of claim 1, further comprising communicating process recipe parameters to the plasma etching system and/or loading process recipe parameters from a memory to the plasma etching system for each step of an etching process recipe.
3. The method of claim 1, further comprising communicating and/or calculating target control parameters of each heater zone based on the target etching
temperatures at the predetermined locations on the substrate.
4. The method of claim 1, wherein when the number of the heater zones and the number of the device die locations are equal, the step of adjusting temperature using the controllable heater zones comprises:
determining heater power setpoints for the heater zones by multiplying an inversed matrix describing a relationship of the target control parameters of the heater zones and the target etching temperatures of the device die locations by a vector whose elements are the target etching temperatures of the device die locations.
5. The method of claim 1, wherein the plasma etching system comprises one or more temperature sensors configured to measure etching temperature of each heater zone location, the method further comprising calculating target control parameters of each heater zone based on outputs from the temperature sensors.
6. A plasma etching system for carrying out the method of claim 1, comprising a substrate support assembly for supporting a substrate during plasma etching, the substrate support assembly comprising a plurality of independently controllable heater zones in an arrangement under the substrate, and a controller unit that controls each heater zone.
7. A plasma etching system for carrying out the method of claim 6, comprising an interface which receives the pre-etch or the post critical device parameters from an off-board inspection tool via a host communication network.
8. The method of claim 1, wherein when the number of the heater zones and the number of the device die locations are not equal, the step of adjusting temperature using the controllable heater zones includes: determining heater power setpoints for the heater zones based on a matrix describing a relationship of the target control parameters of the heater zones and predicted etching temperatures of the predetermined locations on the substrate, wherein the difference between the predicted etching temperatures of the device die locations and the target etching temperatures of the device die locations is minimized by an optimization technique.
9. The method of claim 8, wherein the optimization technique is a least squares optimization.
10. A method of using a plasma etching system, the plasma etching system comprising a substrate support assembly for supporting a substrate during plasma etching, the substrate support assembly comprising independently controllable heater zones in an arrangement under device die locations on the substrate, and a controller unit that controls each heater zone; the method comprising:
measuring pre-etch critical device parameters at a first set of predetermined locations on the substrate;
communicating at least one of the pre-etch critical device parameters and post-etch critical device parameters from previously etched substrate to the plasma etching system;
subsequently supporting the substrate on the substrate support assembly; communicating at least one of process recipe parameters and loading process recipe parameters from a memory to the plasma etching system;
deducing target etching temperatures at a second set of predetermined locations on the substrate based on at least one of the process recipe parameters, target post-etch critical device parameters, the pre-etch critical device parameters, and the post-etch critical device parameters;
determining heater power setpoints for the controllable heater zones based on a relationship between the heater power for each of the heater zones and the predicted etching temperatures at the second set of predetermined locations, wherein the heater power setpoints are determined such that the difference between the predicted etching temperatures and the target etching temperatures is minimized by an optimization technique;
plasma etching the substrate.
11. The method of claim 10, wherein the optimization technique is a least squares optimization.
12. The method of claim 10, wherein deducing target etching temperatures includes estimating data of pre-etch or post-etch critical device parameters at the second set of predetermined locations based on the data of the pre-etch or post-etch critical device parameters at the first set of predetermined locations.
13. The method of claim 12, wherein the estimating includes interpolating the data of pre-etch or post-etch critical device parameters at the second set of predetermined locations based on the data of the pre-etch or post-etch critical device parameters at the second set of predetermined locations.
14. The method of claim 10, wherein a relationship between the heater power for each of the heater zones and the predicted etching temperatures at the second set of predetermined locations is described by a matrix.
15. The method of claim 13, wherein the interpolating is a linear interpolation.
16. The method of claim 13, wherein the interpolating is a non-linear
interpolation.
PCT/US2010/003149 2009-12-15 2010-12-13 Adjusting substrate temperature to improve cd uniformity WO2011081645A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
SG2012035671A SG180882A1 (en) 2009-12-15 2010-12-13 Adjusting substrate temperature to improve cd uniformity
KR1020167020421A KR101841378B1 (en) 2009-12-15 2010-12-13 Adjusting substrate temperature to improve cd uniformity
CN201080055995.1A CN102652352B (en) 2009-12-15 2010-12-13 Substrate temperature is regulated to improve the uniformity of critical size (CD)
JP2012544478A JP6066728B2 (en) 2009-12-15 2010-12-13 Method for adjusting substrate temperature and plasma etching system for improving CD uniformity

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28665309P 2009-12-15 2009-12-15
US61/286,653 2009-12-15

Publications (2)

Publication Number Publication Date
WO2011081645A2 true WO2011081645A2 (en) 2011-07-07
WO2011081645A3 WO2011081645A3 (en) 2011-10-20

Family

ID=44143388

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2010/003149 WO2011081645A2 (en) 2009-12-15 2010-12-13 Adjusting substrate temperature to improve cd uniformity

Country Status (7)

Country Link
US (2) US8642480B2 (en)
JP (3) JP6066728B2 (en)
KR (2) KR101841378B1 (en)
CN (2) CN105428295B (en)
SG (1) SG180882A1 (en)
TW (2) TWI608537B (en)
WO (1) WO2011081645A2 (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
WO2018202361A1 (en) 2017-05-05 2018-11-08 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
EP3432071A1 (en) 2017-07-17 2019-01-23 ASML Netherlands B.V. Information determining apparatus and method
WO2019015899A1 (en) 2017-07-17 2019-01-24 Asml Netherlands B.V. Information determining apparatus and method
US10199246B2 (en) 2013-10-25 2019-02-05 Tokyo Electron Limited Temperature control mechanism, temperature control method and substrate processing apparatus
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3531207A1 (en) 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
WO2020108862A1 (en) 2018-11-26 2020-06-04 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
WO2020114686A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
WO2020114692A1 (en) 2018-12-07 2020-06-11 Asml Netherlands B.V. Method for determining root cause affecting yield in a semiconductor manufacturing process
WO2020156769A1 (en) 2019-01-29 2020-08-06 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process
EP3693795A1 (en) 2019-02-06 2020-08-12 ASML Netherlands B.V. Method for decision making in a semiconductor manufacturing process
EP3705959A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
WO2021032398A1 (en) 2019-08-22 2021-02-25 Asml Netherlands B.V. Method for controlling a lithographic apparatus
WO2021032376A1 (en) 2019-08-20 2021-02-25 Asml Netherlands B.V. Method for controlling a semiconductor manufacturing process
EP3848757A1 (en) 2020-01-13 2021-07-14 ASML Netherlands B.V. Method for controlling a lithographic apparatus
WO2021197730A1 (en) 2020-04-02 2021-10-07 Asml Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
EP3910417A1 (en) 2020-05-13 2021-11-17 ASML Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
WO2022064033A1 (en) 2020-09-28 2022-03-31 Asml Netherlands B.V. Target structure and associated methods and apparatus
WO2022135890A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. A method of monitoring a lithographic process
WO2022135843A1 (en) 2020-12-24 2022-06-30 Asml Netherlands B.V. Lithographic method
EP4030236A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4036646A1 (en) 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
EP4040233A1 (en) 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4050328A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
EP4050416A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Lithographic method
EP4113210A1 (en) 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
EP4120019A1 (en) 2021-07-12 2023-01-18 ASML Netherlands B.V. Method of determining a correction for at least one control parameter in a semiconductor manufacturing process
WO2023036526A1 (en) 2021-09-07 2023-03-16 Asml Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4174577A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Method of determining a performance parameter distribution
EP4191337A1 (en) 2021-12-01 2023-06-07 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4357854A1 (en) 2022-10-20 2024-04-24 ASML Netherlands B.V. Method of predicting a parameter of interest in a semiconductor manufacturing process

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101841378B1 (en) 2009-12-15 2018-03-22 램 리써치 코포레이션 Adjusting substrate temperature to improve cd uniformity
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
WO2013033348A1 (en) * 2011-08-30 2013-03-07 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8852964B2 (en) * 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
CN105408993A (en) * 2013-08-06 2016-03-16 应用材料公司 Locally heated multi-zone substrate support
TW201518538A (en) 2013-11-11 2015-05-16 Applied Materials Inc Pixelated cooling, temperature controlled substrate support assembly
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9716022B2 (en) 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
TWI499925B (en) * 2014-04-23 2015-09-11 Cheng Uei Prec Ind Co Ltd Connector dimensions design optimization system and method thereof
US20160240366A1 (en) * 2015-02-17 2016-08-18 Infineon Technologies Ag Processing of Semiconductor Devices
JP6806704B2 (en) 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multi-zone electrostatic chuck adjustable in azimuth direction
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10690414B2 (en) 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
JP6986947B2 (en) 2017-01-19 2021-12-22 東京エレクトロン株式会社 Substrate processing equipment, temperature control method and temperature control program
US11069545B2 (en) * 2017-01-19 2021-07-20 Tokyo Electron Limited Substrate processing apparatus, temperature control method, and temperature control program
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
JP7202972B2 (en) * 2018-06-29 2023-01-12 東京エレクトロン株式会社 PLASMA PROCESSING APPARATUS, PLASMA STATE DETECTION METHOD AND PLASMA STATE DETECTION PROGRAM
JP7456951B2 (en) 2018-07-05 2024-03-27 ラム リサーチ コーポレーション Dynamic temperature control of substrate supports in substrate processing systems
CN109117595B (en) 2018-09-25 2021-06-25 新智数字科技有限公司 Thermal load prediction method and device, readable medium and electronic equipment
CN109473381A (en) * 2018-10-31 2019-03-15 上海华力微电子有限公司 Wet etching cleaning device and method
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US11493551B2 (en) 2020-06-22 2022-11-08 Advantest Test Solutions, Inc. Integrated test cell using active thermal interposer (ATI) with parallel socket actuation
US11549981B2 (en) 2020-10-01 2023-01-10 Advantest Test Solutions, Inc. Thermal solution for massively parallel testing
US11808812B2 (en) 2020-11-02 2023-11-07 Advantest Test Solutions, Inc. Passive carrier-based device delivery for slot-based high-volume semiconductor test system
US11821913B2 (en) 2020-11-02 2023-11-21 Advantest Test Solutions, Inc. Shielded socket and carrier for high-volume test of semiconductor devices
US20220155364A1 (en) 2020-11-19 2022-05-19 Advantest Test Solutions, Inc. Wafer scale active thermal interposer for device testing
US11609266B2 (en) 2020-12-04 2023-03-21 Advantest Test Solutions, Inc. Active thermal interposer device
US11573262B2 (en) 2020-12-31 2023-02-07 Advantest Test Solutions, Inc. Multi-input multi-zone thermal control for device testing
US11587640B2 (en) 2021-03-08 2023-02-21 Advantest Test Solutions, Inc. Carrier based high volume system level testing of devices with pop structures
US11656273B1 (en) 2021-11-05 2023-05-23 Advantest Test Solutions, Inc. High current device testing apparatus and systems
KR20240046106A (en) 2022-09-26 2024-04-08 주식회사 히타치하이테크 Semiconductor device manufacturing system and manufacturing method
US11828795B1 (en) 2022-10-21 2023-11-28 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters for independent thermal control of zones
US11656272B1 (en) 2022-10-21 2023-05-23 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters and one or more cold plates for independent control of zones
US11796589B1 (en) 2022-10-21 2023-10-24 AEM Holdings Ltd. Thermal head for independent control of zones
US11693051B1 (en) 2022-10-21 2023-07-04 AEM Holdings Ltd. Thermal head for independent control of zones
US11828796B1 (en) 2023-05-02 2023-11-28 AEM Holdings Ltd. Integrated heater and temperature measurement
CN116759347B (en) * 2023-08-17 2023-12-12 浙江求是创芯半导体设备有限公司 Control method and control device of epitaxial process and semiconductor processing equipment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005123286A (en) * 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc Substrate treatment equipment
US20080105669A1 (en) * 2006-11-02 2008-05-08 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
KR20080058109A (en) * 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 Wafer heating device and the wafer heating method
US20090102499A1 (en) * 2005-08-09 2009-04-23 Matsushita Electric Industrial Co., Ltd. Method and apparatus for wafer level burn-in

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3440883A (en) * 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US3946370A (en) * 1972-11-02 1976-03-23 U.S. Philips Corporation Method of making light-dot distribution for the holographic storage of binary information with the aid of electronically controlled switching masks
GB1548520A (en) * 1976-08-27 1979-07-18 Tokyo Shibaura Electric Co Method of manufacturing a semiconductor device
JPS601918A (en) 1983-06-17 1985-01-08 Fuji Electric Co Ltd Matrix-type selecting circuit
JPS621176A (en) 1985-06-26 1987-01-07 Hitachi Ltd Head supporting device
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (en) * 1991-10-07 1993-04-09 Commissariat Energie Atomique HEATING SOLE FOR PROVIDING THE HEATING OF AN OBJECT PROVIDED ON ITS SURFACE AND CHEMICAL PROCESSING REACTOR PROVIDED WITH SAID SOLE.
US5255520A (en) * 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
JP3440475B2 (en) 1992-06-29 2003-08-25 アイシン精機株式会社 Human body cleaning device
US5414245A (en) * 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (en) * 1992-09-22 1995-05-24 Litef Gmbh Thermoelectric, heatable cooling chamber
KR100290748B1 (en) * 1993-01-29 2001-06-01 히가시 데쓰로 Plasma processing apparatus
US5504471A (en) * 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JP3257328B2 (en) * 1995-03-16 2002-02-18 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (en) * 1996-02-01 1997-08-15 Tokyo Electron Ltd Stage structure and processor using it
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
KR200159921Y1 (en) 1996-11-23 1999-11-01 이세원 Up/down control circuit of lifter
JP3526184B2 (en) * 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 Substrate processing equipment
US6730541B2 (en) * 1997-11-20 2004-05-04 Texas Instruments Incorporated Wafer-scale assembly of chip-size packages
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US5886866A (en) * 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
JP3892609B2 (en) * 1999-02-16 2007-03-14 株式会社東芝 Hot plate and method for manufacturing semiconductor device
DE19907497C2 (en) * 1999-02-22 2003-05-28 Steag Hamatech Ag Device and method for heat treatment of substrates
US6353209B1 (en) * 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6100506A (en) * 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
US6175175B1 (en) * 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
WO2001031978A1 (en) * 1999-10-22 2001-05-03 Ibiden Co., Ltd. Ceramic heater
US6271459B1 (en) * 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
US6403403B1 (en) * 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US7075031B2 (en) * 2000-10-25 2006-07-11 Tokyo Electron Limited Method of and structure for controlling electrode temperature
US6501052B2 (en) * 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
AU2002240261A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (en) * 2001-04-18 2004-10-27 住友電気工業株式会社 Resistance heating element circuit pattern and substrate processing apparatus using the same
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP4549022B2 (en) * 2001-04-30 2010-09-22 ラム リサーチ コーポレイション Method and apparatus for controlling spatial temperature distribution across the surface of a workpiece support
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
JP3897563B2 (en) * 2001-10-24 2007-03-28 日本碍子株式会社 Heating device
US6739138B2 (en) * 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) * 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (en) * 2002-07-05 2006-08-09 住友大阪セメント株式会社 Electrode built-in susceptor and manufacturing method thereof
WO2004008503A1 (en) * 2002-07-11 2004-01-22 Temptronic Corporation Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules
US6825681B2 (en) * 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP3924524B2 (en) 2002-10-29 2007-06-06 京セラ株式会社 Wafer heating apparatus and manufacturing method thereof
US7372001B2 (en) * 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) * 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
EP1588423A2 (en) * 2003-01-22 2005-10-26 Group IV Semiconductor Inc. Rare earth doped group iv nanocrystal layers
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
US7230204B2 (en) * 2003-03-28 2007-06-12 Tokyo Electron Limited Method and system for temperature control of a substrate
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US6989210B2 (en) * 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) * 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20050016465A1 (en) * 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) * 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
JP2005136025A (en) * 2003-10-29 2005-05-26 Trecenti Technologies Inc Semiconductor manufacturing apparatus, method of manufacturing semiconductor device, and wafer stage
KR20050053464A (en) 2003-12-01 2005-06-08 정준호 Two terminal semiconductor memory using cascaded diodes
US20100257871A1 (en) * 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
JP4349952B2 (en) * 2004-03-24 2009-10-21 京セラ株式会社 Wafer support member and manufacturing method thereof
US7141763B2 (en) * 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
SG136148A1 (en) 2004-03-30 2007-10-29 Adaptive Plasma Tech Corp Plasma source coil and plasma chamber using the same
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2005294237A (en) 2004-04-05 2005-10-20 Aun:Kk Planar heater
JP4281605B2 (en) * 2004-04-08 2009-06-17 住友電気工業株式会社 Semiconductor heating device
US20050229854A1 (en) * 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
JP2005347612A (en) 2004-06-04 2005-12-15 Matsushita Electric Ind Co Ltd Wafer tray, wafer burn-in unit, wafer-level burn-in apparatus using same unit, and temperature controlling method of semiconductor wafer
KR20050121913A (en) 2004-06-23 2005-12-28 삼성전자주식회사 Apparatus for baking
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US20060090855A1 (en) * 2004-10-29 2006-05-04 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
KR100632544B1 (en) 2004-12-15 2006-10-09 현대자동차주식회사 DC driver gate driver circuit
US7475551B2 (en) * 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US20060226123A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
JP2007081160A (en) * 2005-09-14 2007-03-29 Fujitsu Ltd Method for manufacturing semiconductor device
JP4483751B2 (en) 2005-09-16 2010-06-16 株式会社デンソー Power supply reverse connection protection circuit
JP2006074067A (en) * 2005-11-08 2006-03-16 Hitachi Ltd Plasma treatment apparatus and method
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
JP2006303514A (en) * 2006-05-01 2006-11-02 Fujitsu Ltd Electrostatic chuck, depositing method and etching method
US8168050B2 (en) * 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
JP4394667B2 (en) * 2006-08-22 2010-01-06 日本碍子株式会社 Manufacturing method of electrostatic chuck with heater
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7625680B2 (en) * 2006-09-29 2009-12-01 Tokyo Electron Limited Method of real time dynamic CD control
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US7671412B2 (en) * 2007-02-15 2010-03-02 Tokyo Electron Limited Method and device for controlling temperature of a substrate using an internal temperature control device
US20080197015A1 (en) * 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
KR100849069B1 (en) * 2007-04-20 2008-07-30 주식회사 하이닉스반도체 Electro static discharge device
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
JP2008288427A (en) 2007-05-18 2008-11-27 Elpida Memory Inc Temperature-regulating device, stage apparatus, exposure device and exposure method
US20090000738A1 (en) * 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP2009170509A (en) 2008-01-11 2009-07-30 Hitachi High-Technologies Corp Plasma processing apparatus including electrostatic chuck with built-in heater
JP4486135B2 (en) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 Temperature control mechanism and processing apparatus using the same
JP5351479B2 (en) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 Cooling structure of heating source
JP5307445B2 (en) 2008-04-28 2013-10-02 日本碍子株式会社 Substrate holder and method for manufacturing the same
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (en) 2008-12-26 2010-07-08 Omron Corp Wiring structure, heater driving device, measuring device, and control system
GB2470063B (en) * 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
US10049859B2 (en) * 2009-07-08 2018-08-14 Aixtron Se Plasma generating units for processing a substrate
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101841378B1 (en) 2009-12-15 2018-03-22 램 리써치 코포레이션 Adjusting substrate temperature to improve cd uniformity
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005123286A (en) * 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc Substrate treatment equipment
US20090102499A1 (en) * 2005-08-09 2009-04-23 Matsushita Electric Industrial Co., Ltd. Method and apparatus for wafer level burn-in
US20080105669A1 (en) * 2006-11-02 2008-05-08 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
KR20080058109A (en) * 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 Wafer heating device and the wafer heating method

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199246B2 (en) 2013-10-25 2019-02-05 Tokyo Electron Limited Temperature control mechanism, temperature control method and substrate processing apparatus
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US11714357B2 (en) 2017-05-05 2023-08-01 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
WO2018202361A1 (en) 2017-05-05 2018-11-08 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
US11086229B2 (en) 2017-05-05 2021-08-10 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
EP3432071A1 (en) 2017-07-17 2019-01-23 ASML Netherlands B.V. Information determining apparatus and method
WO2019015899A1 (en) 2017-07-17 2019-01-24 Asml Netherlands B.V. Information determining apparatus and method
US10948837B2 (en) 2017-07-17 2021-03-16 Asml Netherlands B.V. Information determining apparatus and method
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
US11966166B2 (en) 2018-01-30 2024-04-23 Asml Netherlands B.V. Measurement apparatus and a method for determining a substrate grid
WO2019149423A1 (en) 2018-01-30 2019-08-08 Asml Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
US11079684B2 (en) 2018-01-30 2021-08-03 Asml Netherlands B.V. Measurement apparatus and a method for determining a substrate grid
US11294294B2 (en) 2018-02-27 2022-04-05 Asml Netherlands B.V. Alignment mark positioning in a lithographic process
WO2019166201A1 (en) 2018-02-27 2019-09-06 Asml Netherlands B.V. Alignment mark positioning in a lithographic process
EP3531207A1 (en) 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
WO2020108862A1 (en) 2018-11-26 2020-06-04 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
US11796978B2 (en) 2018-11-26 2023-10-24 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
WO2020114686A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
US11803127B2 (en) 2018-12-07 2023-10-31 Asml Netherlands B.V. Method for determining root cause affecting yield in a semiconductor manufacturing process
WO2020114692A1 (en) 2018-12-07 2020-06-11 Asml Netherlands B.V. Method for determining root cause affecting yield in a semiconductor manufacturing process
US11687007B2 (en) 2019-01-29 2023-06-27 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process
WO2020156769A1 (en) 2019-01-29 2020-08-06 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process
EP3693795A1 (en) 2019-02-06 2020-08-12 ASML Netherlands B.V. Method for decision making in a semiconductor manufacturing process
EP3705959A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
WO2021032376A1 (en) 2019-08-20 2021-02-25 Asml Netherlands B.V. Method for controlling a semiconductor manufacturing process
US11809088B2 (en) 2019-08-22 2023-11-07 Asml Netherlands B.V. Method for controlling a lithographic apparatus
WO2021032398A1 (en) 2019-08-22 2021-02-25 Asml Netherlands B.V. Method for controlling a lithographic apparatus
EP3848757A1 (en) 2020-01-13 2021-07-14 ASML Netherlands B.V. Method for controlling a lithographic apparatus
WO2021197730A1 (en) 2020-04-02 2021-10-07 Asml Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
US11740560B2 (en) 2020-04-02 2023-08-29 Asml Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
EP3910417A1 (en) 2020-05-13 2021-11-17 ASML Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
WO2022064033A1 (en) 2020-09-28 2022-03-31 Asml Netherlands B.V. Target structure and associated methods and apparatus
WO2022135890A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. A method of monitoring a lithographic process
WO2022135843A1 (en) 2020-12-24 2022-06-30 Asml Netherlands B.V. Lithographic method
EP4030236A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4036646A1 (en) 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
WO2022161726A1 (en) 2021-01-29 2022-08-04 Asml Netherlands B.V. Metrology methods and appratuses
WO2022167178A1 (en) 2021-02-03 2022-08-11 Asml Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4040233A1 (en) 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4050416A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Lithographic method
WO2022179773A1 (en) 2021-02-25 2022-09-01 Asml Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
EP4050328A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
WO2023274687A1 (en) 2021-07-01 2023-01-05 Asml Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
EP4113210A1 (en) 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
WO2023285066A1 (en) 2021-07-12 2023-01-19 Asml Netherlands B.V. Method of determining a correction for at least one control parameter in a semiconductor manufacturing process
EP4120019A1 (en) 2021-07-12 2023-01-18 ASML Netherlands B.V. Method of determining a correction for at least one control parameter in a semiconductor manufacturing process
WO2023036526A1 (en) 2021-09-07 2023-03-16 Asml Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
WO2023072526A1 (en) 2021-11-01 2023-05-04 Asml Netherlands B.V. Method of determining a performance parameter distribution
EP4174577A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Method of determining a performance parameter distribution
EP4191337A1 (en) 2021-12-01 2023-06-07 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4357854A1 (en) 2022-10-20 2024-04-24 ASML Netherlands B.V. Method of predicting a parameter of interest in a semiconductor manufacturing process

Also Published As

Publication number Publication date
WO2011081645A3 (en) 2011-10-20
JP6271634B2 (en) 2018-01-31
JP5925943B2 (en) 2016-05-25
KR101841378B1 (en) 2018-03-22
US20140110060A1 (en) 2014-04-24
US20110143462A1 (en) 2011-06-16
US8642480B2 (en) 2014-02-04
SG180882A1 (en) 2012-07-30
TW201616575A (en) 2016-05-01
JP2015216397A (en) 2015-12-03
CN105428295A (en) 2016-03-23
JP2016178316A (en) 2016-10-06
KR20120102077A (en) 2012-09-17
JP6066728B2 (en) 2017-01-25
TWI608537B (en) 2017-12-11
CN105428295B (en) 2020-08-11
CN102652352B (en) 2015-12-02
CN102652352A (en) 2012-08-29
US10056225B2 (en) 2018-08-21
JP2013513967A (en) 2013-04-22
KR20160092057A (en) 2016-08-03
KR101644673B1 (en) 2016-08-01
TWI531000B (en) 2016-04-21
TW201131645A (en) 2011-09-16

Similar Documents

Publication Publication Date Title
US8642480B2 (en) Adjusting substrate temperature to improve CD uniformity
US8809747B2 (en) Current peak spreading schemes for multiplexed heated array
US9713200B2 (en) System and method for monitoring temperatures of and controlling multiplexed heater array
KR101697054B1 (en) Methods of fault detection for multiplexed heater array
JP2008085329A (en) Temperature controlled substrate holder having erosion resistant insulating layer used for substrate processing system
WO2013025852A1 (en) A system and method for monitoring temperatures of and controlling multiplexed heater array
US20160370795A1 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080055995.1

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10841389

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20127015227

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2012544478

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10841389

Country of ref document: EP

Kind code of ref document: A2