WO2007124197A2 - Procédé de formation de matériaux d'oxynitrure de silicium - Google Patents

Procédé de formation de matériaux d'oxynitrure de silicium Download PDF

Info

Publication number
WO2007124197A2
WO2007124197A2 PCT/US2007/061832 US2007061832W WO2007124197A2 WO 2007124197 A2 WO2007124197 A2 WO 2007124197A2 US 2007061832 W US2007061832 W US 2007061832W WO 2007124197 A2 WO2007124197 A2 WO 2007124197A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
nitrogen
exposing
oxygen
during
Prior art date
Application number
PCT/US2007/061832
Other languages
English (en)
Other versions
WO2007124197A3 (fr
Inventor
Thai Cheng Chua
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/367,882 external-priority patent/US7964514B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2007124197A2 publication Critical patent/WO2007124197A2/fr
Publication of WO2007124197A3 publication Critical patent/WO2007124197A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN

Definitions

  • Embodiments of the invention generally relate to a method for forming a dielectric material. More particularly, embodiments of the invention relate to a method for forming a silicon oxynitride dielectric material.
  • the drive current required to increase the speed of the transistor has increased.
  • dielectrics such as silicon oxide dielectrics
  • the use of silicon oxide dielectrics with thicknesses below 20 A often results in undesirable performance and durability.
  • boron from a boron doped electrode can penetrate through a thin silicon oxide dielectric into the underlying silicon substrate.
  • gate leakage current i.e., tunneling current
  • Thin silicon oxide gate dielectrics may be susceptible to negative-channel metal-oxide semiconductor (NMOS) hot carrier degradation, in which high energy carriers traveling across the dielectric can damage or destroy the channel.
  • Thin silicon oxide gate dielectrics may also be susceptible to positive channel metal oxide semiconductor (PMOS) negative bias temperature instability (NBTI), wherein the threshold voltage or drive current drifts with operation of the gate.
  • PMOS positive channel metal oxide semiconductor
  • NBTI negative bias temperature instability
  • a method of forming a dielectric layer suitable for use as the gate dielectric layer in a MOSFET includes nitriding a thin silicon oxide film in a nitrogen-containing plasma.
  • a nitrogen-containing plasma nitriding a thin silicon oxide film in a nitrogen-containing plasma.
  • Increasing the net nitrogen content in the gate oxide to increase the dielectric constant is desirable for several reasons.
  • the bulk of the oxide dielectric may be lightly incorporated with nitrogen during the plasma nitridation process, which reduces the equivalent oxide thickness (EOT) over the starting oxide. This may result in a gate leakage reduction, due to tunneling during the operation of a field effect transistor, at the same EOT as the oxide dielectric that is not nitrided.
  • EOT equivalent oxide thickness
  • increased nitrogen content may also reduce damage induced by Fowler- Nordheim (F-N) tunneling currents during additional processing operations, provided that the thickness of the dielectric is in the F-N range.
  • F-N Fowler- Nordheim
  • Another benefit of increasing the net nitrogen content of the gate oxide is that the nitrided gate dielectric is more resistant to the problem of gate etch undercut, which in turn reduces defect states and current leakage at the gate edge.
  • U.S. Pat. No. 6,610,615 discloses nitrogen profiles in a silicon oxide film for both thermal and plasma nitridation process.
  • the nitrided oxide films are disposed on a silicon substrate.
  • Testing of the thermal nitrided oxide films nitrogen profiles in the crystalline silicon beneath the oxide film shows a first concentration of nitrogen at a top surface of an oxide layer, a generally declining concentration of nitrogen deeper in the oxide, an interfacial accumulation of nitrogen at the oxide- silicon interface, and finally, a nitrogen concentration gradient that is generally declining with distance into the substrate.
  • the plasma nitridation process produces a nitrogen profile that is essentially monotonically decreasing from the top surface of the oxide layer through the oxide- silicon interface and into the substrate.
  • the undesirable interfacial accumulation of nitrogen observed with a thermal nitridation process does not occur with the ionic bombardment of the nitrogen plasma. Furthermore, the nitrogen concentration in the substrate is lower, at all depths, than is achieved with the thermal nitridation process.
  • a benefit of increasing nitrogen concentration at the gate electrode-gate oxide interface is that dopant diffusion with dopants, such as boron, from polysilicon gate electrodes into or through the gate oxide is reduced. This improves device reliability by reducing defects in the bulk of the gate oxide caused by, for example, in-diffused boron from a boron doped polysilicon gate electrode.
  • Another benefit of reducing nitrogen content at the gate oxide-silicon channel interface is the reduction of fixed charge and interface state density. This improves channel mobility and transconductance.
  • a nitrogen containing silicon oxide dielectric material that may be used with a physical thickness that is effective to reduce current leakage density and provide high gate capacitance is needed.
  • the nitrogen containing silicon oxide dielectric material must have a dielectric constant that is higher than that of silicon dioxide.
  • the thickness of such a dielectric material layer is expressed in terms of the equivalent oxide thickness (EOT).
  • EOT equivalent oxide thickness
  • a silicon oxynitride dielectric material may be formed by incorporating nitrogen into a silicon oxide (SiO 2 or SiO x , where x ⁇ 2) layer or forming a silicon nitride layer on a silicon substrate and incorporating oxygen into the layer by an oxidation process involving oxygen or precursor gases that contain nitrogen and oxygen.
  • Embodiments of the invention provide a method for forming dielectric materials, such as silicon oxynitride materials on a substrate.
  • the method generally includes positioning a substrate containing a native oxide surface within a processing system comprising a plurality of process chambers and removing the native oxide surface to form a substrate surface free or substantially free of native oxide during a cleaning process.
  • a method includes exposing the substrate to a first nitrogen-containing plasma to form a silicon nitride layer from the substrate surface during a first nitridation process, exposing the substrate to an oxygen source to form a silicon oxynitride layer from the silicon nitride layer during a thermal oxidation process, exposing the substrate to a second nitrogen-containing plasma during a second nitridation process, and exposing the substrate to an annealing process.
  • the substrate may be maintained within the processing system during the cleaning process, the first nitridation process, the thermal oxidation process, the second nitridation process, and the annealing process.
  • the method further includes exposing the substrate to a nitrogen-containing plasma to form a silicon nitride layer from the substrate surface during a nitridation process, exposing the substrate to an oxygen source to form a silicon oxynitride layer during a thermal oxidation process, exposing the substrate to a plasma comprising nitrogen and oxygen during a plasma process, and exposing the substrate to an annealing process.
  • the substrate may be maintained within the processing system during the cleaning process, the nitridation process, the thermal oxidation process, the plasma process, and the annealing process.
  • the method further provides exposing the substrate to a plasma comprising nitrogen and oxygen to form a silicon oxynitride layer from the substrate surface during a plasma process, exposing the substrate to an oxygen source during a thermal oxidation process, exposing the substrate to a nitrogen-containing plasma during a nitridation process, and exposing the substrate to an annealing process.
  • the substrate may be maintained within the processing system during the cleaning process, the plasma process, the thermal oxidation process, the nitridation process, and the annealing process.
  • the cleaning process may comprise exposing the substrate to a wet clean solution, such as a hydrofluoric acid solution.
  • a wet clean solution such as a hydrofluoric acid solution.
  • the first nitrogen- containing plasma may comprise nitrogen and the second nitrogen-containing plasma may comprise a reagent such as nitrogen, oxygen, nitric oxide, nitrous oxide, derivatives thereof, and combinations thereof.
  • the oxygen source may comprise a reagent such as oxygen, nitric oxide, nitrous oxide, water, derivatives thereof, and combinations thereof.
  • the water vapor may be formed by combining hydrogen gas, nitrogen gas, and oxygen gas or hydrogen gas, nitrogen gas, and nitrous oxide gas.
  • a method for depositing a dielectric film which includes forming silicon nitride on the surface of the substrate, oxidizing the silicon nitride on the surface of the substrate, exposing the surface of the substrate to a hydrogen-free nitrogen source, and annealing the substrate.
  • a method for the deposition of a dielectric film which includes forming silicon nitride on the surface of the substrate, oxidizing the silicon nitride on the surface of the substrate, including exposing the surface of the substrate to a gas selected from the group of oxygen, nitric oxide, and nitrous oxide, and exposing the surface of the substrate to a hydrogen-free nitrogen source, wherein the hydrogen-free nitrogen source is a gas such as nitrogen, nitric oxide, or nitrous oxide.
  • Figure 1 is a flow chart depicting a flow diagram of a process as described by embodiments herein;
  • Figures 2A-2D are schematic sectional views of a substrate during various process steps described by embodiments herein. DETAILED DESCRIPTION
  • the invention provides a method of forming a silicon oxynitride film with lower hydrogen content than those films formed using a plasma nitridation process that provides plasma with ammonia or other hydrogen containing precursors.
  • the resulting silicon oxynitride films with low hydrogen content have a higher dielectric constant and thinner equivalent oxide thickness than silicon oxynitride films with higher hydrogen content.
  • the silicon oxynitride films have a hydrogen content of about 5% or less.
  • FIG. 1 is a flow diagram illustrating one embodiment of a process 100. Films formed using processes described herein may be used, for example, in a device such as field effect transistors, for example, complementary metal oxide semiconductor structures (CMOS) field effect transistors.
  • Process 100 begins by introducing a substrate into a process chamber that is part of an integrated tool containing multiple process chambers connected by a common, shared chamber during start step 102.
  • native oxide is removed from the substrate during a cleaning process in step 104.
  • the substrate is moved to a cleaning process chamber to remove native silicon oxide that forms across the substrate surface during substrate transport and storage.
  • the native oxide surface is removed form the substrate to form a substrate surface free or substantially free of native oxide during a cleaning process.
  • the substrate is moved to a chamber for exposure to a nitrogen containing plasma to form silicon oxynitride during plasma nitration step 106. Then, the substrate is exposed to an oxygen containing precursor and annealed during thermal oxidation step 108. Next, the substrate is again exposed to a plasma formed with a nitrogen containing, hydrogen free precursor during plasma nitridation step 110. The substrate is annealed during thermal anneal step 112, and then forwarded on to additional processing steps during end step 114.
  • FIGS 2A-2D are sectional views of a substrate illustrating the process and resulting changes in film composition as the steps of process 100 are performed.
  • a substrate 200 is positioned in a processing chamber during the start step 102.
  • a processing chamber that may be used to perform processes described herein is a decoupled plasma nitridation process chamber described in commonly assigned U.S. Ser. No. 10/819,392, filed April 6, 2004, and published as US 2004-0242021 , which is herein incorporated by reference in its entirety.
  • One suitable decoupled plasma nitridation (DPN) chamber is the DPN CENTURA ® chamber, which is commercially available from Applied Materials, Inc., of Santa Clara, California.
  • Process 100 may be performed on various substrates, such as 200 mm diameter or 300 mm diameter substrates or other medium suitable for semiconductor or flat panel display processing.
  • a native oxide layer 204 is often present on the surface of the substrate 200.
  • Native oxide layer 204 may be removed using a wet clean method to form a substrate surface free or substantially free of native oxide layer 204 during a cleaning process.
  • native oxide layer 204 may be removed using a hydrofluoric acid solution containing hydrogen fluoride (HF) and deionized (Dl) water.
  • the solution has a concentration within a range from about 0.1 wt% (weight percent) to about 10.0 wt% of HF and a temperature within a range from about 20 0 C to about 30°C. In a preferred embodiment, the solution has about 0.5 wt% of HF and a temperature of about 25°C.
  • a brief exposure of the substrate 200 to the solution may be followed by a rinse step in de-ionized water.
  • the removal step 104 may be performed in either a single substrate or batch system.
  • the removal step 104 may be performed in an ultra-sonically enhanced bath.
  • substrate 200 is placed in a vacuum load lock or nitrogen purged environment for transport on to the next processing chamber for plasma nitriding.
  • FIG. 2B illustrates layer 206, containing a silicon nitride material or a silicon oxynitride material, grown on substrate 200 as a product of the plasma nitridation step 106.
  • layer 206 may have a thickness within a range from about 2 A to about 12 A. In one embodiment, layer 206 has a thickness within a range from about 6 A to about 10 A.
  • the chamber for the plasma nitration step is a RADIANCE ® reactor or RTP XE+TM reactor. RADIANCE ® or RTP XE+TM reactors are available from Applied Materials, Inc., of Santa Clara, California.
  • the plasma of step 106 contains at least one of nitrogen, as well as one or more optional noble gases such as argon and helium.
  • Step 106 may be performed using a DPN plasma reactor of an integrated processing system.
  • a sublayer of nitrogen containing film 205 has a typical thickness within a range from about 1 A to about 12 A, preferably, from about 3 A to about 6 A.
  • the plasma may contain nitrogen, as well as one or more oxidizing gas such as oxygen (O2), nitric oxide (NO), and nitrous oxide (N 2 O).
  • the plasma may contain one or more optional noble gases such as argon or helium.
  • the plasma contains at least one of ammonia (NH 3 ), as well as one or more optional noble gases such as argon or helium.
  • the layer 206 may be formed in a DPN reactor by providing nitrogen at a flow rate within a range from about 10 seem (standard cubic centimeters per minute) to about 2,000 seem, a substrate support temperature within a range from about 2O 0 C to about 500 0 C, and a pressure in the reaction chamber within a range from about 5 mTorr to about 1 ,000 mTorr.
  • the radio-frequency (RF) plasma is energized at 13.56 MHz using either a continuous wave (CW) or pulsed plasma power source within a range from about 3 kW to about 5 kW.
  • peak RF power, frequency, and a duty cycle are within ranges from about 10 watts to about 3,000 watts, from about 2 kHz to about 100 kHz, and from about 2% to about 50%. Pulsing may be performed for a duration within a range from about 1 second to about 180 seconds.
  • N 2 is provided at about 200 watts and about 1 ,000 watts of peak RF power is pulsed at about 10 kHz with a duty cycle of about 5% applied to an inductive plasma source, at a temperature of about 25 0 C and a pressure of about 40 mTorr to about 80 mTorr, for about 15 seconds to about 60 seconds.
  • plasma nitridation step 106 may form a silicon oxynitride layer 206 with sublayers 205, 207, and 208, in one embodiment.
  • the sublayers 205, 207, and 208 vary in nitrogen, hydrogen, and oxygen concentration within the layer 206.
  • the upper sublayer 205 has the highest nitrogen concentration of the three sublayers and sublayer 208 has the lowest concentration of nitrogen. This concentration gradient provides layer 206 with a more desirable interface between the substrate 200 (that contains minimal nitrogen) and the sublayer 208 with a lower nitrogen content than the rest of the layer 206.
  • thermal oxidation is performed on the substrate at step 108 using a thermal annealing chamber, such as a RADIANCE ® reactor or RTP XE+TM reactor, commercially available from Applied Materials, Inc., of Santa Clara, California.
  • a thermal annealing chamber such as a RADIANCE ® reactor or RTP XE+TM reactor, commercially available from Applied Materials, Inc., of Santa Clara, California.
  • Thermal oxidation improves the chemical composition and chemical binding structure of the silicon oxynitride layer 206 by increasing the oxygen content of sublayers 205, 207, and 208, providing increased electron mobility in the dielectric sublayers.
  • Step 108 also improves the crystalline structure and chemical composition of the interface between layer 206 and substrate 200 by tuning the oxygen and silicon concentration profiles at the interface. This improved crystalline structure and chemical composition at the interface improves the reliability of the interface.
  • the thermal oxidation may be performed by exposing the substrate to oxygen having a flow rate within a range from about 2 seem to about 5,000 seem or to nitric oxide having a flow rate within a range from about 100 seem to about 5,000 seem or both gases at the same time and flow rates.
  • the flow rate of oxygen gas may be at about 500 seem.
  • Either gas may be optionally mixed with nitrogen.
  • the substrate surface temperature is within a range from about 800 0 C to about 1 ,100 0 C, and a chamber pressure is within a range from about 0.1 Torr to about 50 Torr.
  • the process may be performed for a duration within a range from about 5 seconds to about 180 seconds.
  • oxygen is provided at about 500 seem while maintaining the chamber at about 1 ,000°C and a pressure of about 0.1 Torr, for about 15 seconds.
  • nitric oxide is provided at about 500 seem at a substrate temperature of about 1 ,000 0 C and a pressure of about 0.5 Torr for about 15 seconds.
  • the thermal oxidation may be performed by providing a wet oxidation environment, such as by an in situ steam generation (ISSG) process, is commercially available from Applied Materials, Inc., of Santa Clara, California.
  • ISSG in situ steam generation
  • the ISSG process includes heating the substrate surface to a temperature within a range from about 700 0 C to about 1 ,000 0 C, while in a process chamber pressurized at a pressure within a range from about 0.5 Torr to about 18 Torr.
  • the substrate is exposed to oxygen having a flow rate within a range from about 500 seem to about 5,000 seem and to hydrogen having a flow rate within a range from about 10 seem to about 1 ,000 seem.
  • hydrogen is less than 20% of the total gas flow of the mixture of oxygen and hydrogen.
  • the period of exposure to the gas mixture is within a range from about 5 seconds to about 180 seconds.
  • oxygen is provided at about 980 seem
  • hydrogen is provided at about 20 seem
  • the substrate surface temperature is about 800 0 C
  • the chamber pressure is about 7.5 Torr
  • the period of exposure is about 15 seconds.
  • the process may be performed in a RADIANCE ® reactor or an RTP XETM reactor, commercially available from Applied Materials, Inc., of Santa Clara, California.
  • the substrate is exposed to a hydrogen free nitrogen containing precursor during plasma nitridation step 110.
  • the layer 206 is treated with nitrogen plasma to enhance the amount of nitrogen in the layer 206, especially to increase the nitrogen content of the upper sublayers 205 and 207 of Figure 2C to form a nitrogen enhanced sublayer.
  • Figure 2D illustrates a nitrogen enhanced, hydrogen free sublayer 210 that forms on the top surface of the dielectric layer during plasma nitridation step 110.
  • Hydrogen free nitrogen containing precursors for this plasma nitridation step 110 include nitrogen, nitrous oxide, and nitric oxide.
  • the process may be performed using a DPN reactor by providing nitrogen gas having a flow rate within a range from about 10 seem to about 2,000 seem, a substrate support temperature within a range from about 2O 0 C to about 500 0 C, and a reaction chamber pressure within a range from about 5 mTorr to about 1 ,000 mTorr.
  • the RF plasma is at 13.56 MHz, with a CW or pulsed plasma power source within a range from about 3 kW to about 5 kW.
  • peak RF power, frequency and duty cycle are typically within a range from about 10 watts to about 3,000 watts, from about 2 kHz to about 100 kHz, and from about 2% to about 50%.
  • Plasma nitridation may be performed for about 1 second to about 180 seconds.
  • nitrogen is provided at about 200 seem, and about 1 ,000 watts RF power is pulsed at about 10 kHz with a duty cycle of about 5% applied to an inductive plasma source, at a temperature of about 25°C, at a pressure within a range from about 100 mTorr to about 80 mTorr, and for a duration within a range from about 15 seconds to about 180 seconds.
  • the plasma may be produced using a quasi-remote plasma source, an inductive plasma source, a radial line slotted antenna (RLSA) source, or other plasma sources.
  • sources of CW or pulsed microwave power may be used to form the layer 210.
  • the nitrogen and oxygen concentration gradient in the sublayers 210, 207, and 208 illustrated by Figure 2C progresses from sublayer 210 that is nitrogen rich and hydrogen free and thus has a higher dielectric constant than comparable oxynitride films to sublayer 208 that has been tailored to provide an improved interface between the dielectric and substrate 200.
  • the dielectric layers and substrate 200 are annealed. Thermal anneal step 112 improves reliability of the resulting gate dielectric by reducing leakage current in the layers 210, 207, and 208 and increasing the charge carrier mobility of the upper sublayers of substrate 200.
  • Thermal anneal step 112 may be performed using an annealing chamber, such as the RADIANCE ® reactor or RTP XE+TM reactor of an integrated processing system available from Applied Materials, Inc., of Santa Clara, California. Alternatively, the anneal step may not be performed.
  • an annealing chamber such as the RADIANCE ® reactor or RTP XE+TM reactor of an integrated processing system available from Applied Materials, Inc., of Santa Clara, California. Alternatively, the anneal step may not be performed.
  • the annealing process may be performed by exposing the substrate to oxygen having a flow rate within a range from about 2 seem to about 5,000 seem or to nitric oxide having a flow rate within a range from about 100 seem to about 5,000 seem. Additionally, the two gases may be introduced to the chamber at the same time.
  • the oxygen and/or nitric oxide may be optionally mixed with nitrogen, while maintaining the substrate temperature within a range from about 800°C to about 1 ,100 0 C and the chamber pressure within a range from about 0.1 Torr to about 50.0 Torn
  • the process may be performed for a duration within a range from about 5 seconds to about 180 seconds.
  • oxygen is provided at about 500 seem, the substrate is heated to about 1 ,000 0 C, the chamber pressure is about 0.1 Torr, and the time of exposure is about 15 seconds.
  • nitric oxide is provided at about 500 seem, the substrate is heated to about 1 ,000 0 C, the chamber pressure is about 0.5 Torr, and the time of exposure is about 15 seconds.
  • process 100 is completed and the substrate is moved to an additional chamber or integrated tool for further processing during end step 114.
  • the absence of hydrogen in the final plasma nitridation and anneal steps yields a film with improved properties.
  • the film has a higher dielectric constant than a silicon oxide film with a similar thickness.
  • the effective oxide thickness (EOT) is within a range from about 7 A to about 12 A.
  • the channel integrity and the negative bias temperature instability (NBTI) are improved.
  • the concentration gradient formed in the film increases the dielectric constant while also providing an improved interface between the dielectric and substrate.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

L'invention concerne des procédés de formation de matériaux d'oxynitrure de silicium sur un substrat. Un mode de réalisation concerne un procédé de formation d'un matériau diélectrique sur un substrat qui consiste à positionner un substrat contenant une surface d'oxyde natif à l'intérieur d'un système de traitement contenant une pluralité de chambres de traitement, et retirer la surface d'oxyde natif pour former une surface de substrat débarrassée ou sensiblement débarrassé de l'oxyde natif lors d'un procédé de nettoyage. Dans un exemple, le procédé consiste en outre à exposer le substrat à un premier plasma contenant de l'azote pour former une couche de nitrure de silicium à partir de la surface du substrat lors d'un premier procédé de nitruration, exposer le substrat à une source d'oxygène pour former une couche d'oxynitrure de silicium à partir de la couche de nitrure de silicium lors d'un procédé d'oxydation thermique, exposer le substrat à un deuxième plasma contenant de l'azote lors d'un deuxième procédé de nitruration, et exposer le substrat à un procédé de recuit.
PCT/US2007/061832 2006-03-02 2007-02-08 Procédé de formation de matériaux d'oxynitrure de silicium WO2007124197A2 (fr)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/367,882 2006-03-02
US11/367,882 US7964514B2 (en) 2006-03-02 2006-03-02 Multiple nitrogen plasma treatments for thin SiON dielectrics
US11/456,531 2006-07-10
US11/456,531 US20070207628A1 (en) 2006-03-02 2006-07-10 Method for forming silicon oxynitride materials

Publications (2)

Publication Number Publication Date
WO2007124197A2 true WO2007124197A2 (fr) 2007-11-01
WO2007124197A3 WO2007124197A3 (fr) 2008-01-24

Family

ID=38625684

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/061832 WO2007124197A2 (fr) 2006-03-02 2007-02-08 Procédé de formation de matériaux d'oxynitrure de silicium

Country Status (1)

Country Link
WO (1) WO2007124197A2 (fr)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077737A (en) * 1998-06-02 2000-06-20 Mosel Vitelic, Inc. Method for forming a DRAM having improved capacitor dielectric layers
US20030234417A1 (en) * 2002-03-05 2003-12-25 Ivo Raaijmakers Dielectric layers and methods of forming the same
US20030235968A1 (en) * 2002-06-21 2003-12-25 Hoon-Jung Oh Capacitor with oxidation barrier layer and method for manufacturing the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077737A (en) * 1998-06-02 2000-06-20 Mosel Vitelic, Inc. Method for forming a DRAM having improved capacitor dielectric layers
US20030234417A1 (en) * 2002-03-05 2003-12-25 Ivo Raaijmakers Dielectric layers and methods of forming the same
US20030235968A1 (en) * 2002-06-21 2003-12-25 Hoon-Jung Oh Capacitor with oxidation barrier layer and method for manufacturing the same

Also Published As

Publication number Publication date
WO2007124197A3 (fr) 2008-01-24

Similar Documents

Publication Publication Date Title
US7964514B2 (en) Multiple nitrogen plasma treatments for thin SiON dielectrics
US20040175961A1 (en) Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US7429538B2 (en) Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7429540B2 (en) Silicon oxynitride gate dielectric formation using multiple annealing steps
US20080032510A1 (en) Cmos sion gate dielectric performance with double plasma nitridation containing noble gas
JP4369362B2 (ja) 基板処理方法
US8148275B2 (en) Method for forming dielectric films
US20070049043A1 (en) Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7923360B2 (en) Method of forming dielectric films
US20040248392A1 (en) Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3593340B2 (ja) 集積回路デバイスの製造方法
US7476916B2 (en) Semiconductor device having a mis-type fet, and methods for manufacturing the same and forming a metal oxide film
US6740941B2 (en) Semiconductor device including a gate insulating film made of high-dielectric-constant material
JP2001085427A (ja) 酸窒化膜およびその形成方法
WO2004077542A1 (fr) Procede de traitement de substrats
US20070010103A1 (en) Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
WO2007124197A2 (fr) Procédé de formation de matériaux d'oxynitrure de silicium
JP2005079563A (ja) 電子デバイスの製造方法
CN101490808A (zh) 以含贵重气体的双等离子体氮化法增进cmos氮氧化硅栅介电层效能的方法
JP2005235792A (ja) 基板処理方法
US20040124460A1 (en) Stack gate electrode suppressed with interface-reaction and method for fabricating semiconductor device having the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07797126

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07797126

Country of ref document: EP

Kind code of ref document: A2