WO2006110645A2 - Agents nettoyants liquides au fluorure contenant des melanges de solvants polaires et non polaires destines a nettoyer des dispositifs microelectroniques a faible k - Google Patents

Agents nettoyants liquides au fluorure contenant des melanges de solvants polaires et non polaires destines a nettoyer des dispositifs microelectroniques a faible k Download PDF

Info

Publication number
WO2006110645A2
WO2006110645A2 PCT/US2006/013306 US2006013306W WO2006110645A2 WO 2006110645 A2 WO2006110645 A2 WO 2006110645A2 US 2006013306 W US2006013306 W US 2006013306W WO 2006110645 A2 WO2006110645 A2 WO 2006110645A2
Authority
WO
WIPO (PCT)
Prior art keywords
liquid removal
ether
residue
removal composition
glycol
Prior art date
Application number
PCT/US2006/013306
Other languages
English (en)
Other versions
WO2006110645A3 (fr
Inventor
David W. Minsek
David D. Bernhard
Thomas H. Baum
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Publication of WO2006110645A2 publication Critical patent/WO2006110645A2/fr
Publication of WO2006110645A3 publication Critical patent/WO2006110645A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • the present invention relates to liquid compositions for the removal of post-ash residue, post-etch residue and bottom anti-reflective coating (BARC) residue from microelectronic devices, wherein the liquid compositions have a high selectivity for the residue materials without damaging low-k dielectric material or corroding metallic interconnect materials on the microelectronic device.
  • BARC bottom anti-reflective coating
  • Interconnect circuitry in semiconductor circuits consists of conductive metallic circuitry surrounded by insulating dielectric material.
  • silicate glass vapor- deposited from tetraethylorthosilicate (TEOS) was widely used as the dielectric material, while alloys of aluminum were used for metallic interconnects.
  • TEOS and fluorinated silicate glass have been replaced by so-called low-k dielectrics, including low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • Photolithography is used to image a pattern onto a photoresist.
  • Photolithography techniques comprise the steps of coating, exposure, and development.
  • a wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes.
  • the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light ( «250 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution.
  • UV ultraviolet
  • DUV deep UV
  • BARCs bottom anti-reflective coatings
  • gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to an underlying layers, which may consist of hardmask, interlevel dielectric and etch stop layers.
  • the reactive plasma gases react with the developed photoresist, resulting in the formation of a hardened, crosslinked polymeric material, or "crust," on the surface of the photoresist.
  • the reactive plasma gases also react with the sidewalls of the BARC and the features etched into the dielectric. Further, plasma etch residues are typically deposited on the BEOL structures.
  • Ion implant-exposed photoresist is also highly cross- linked similar to plasma etched photoresist.
  • the hardened photoresist, bulk photoresist, BARC materials and/or residues thereof must be cleanly removed from the microelectronic device in order to obtain defect-free structures. If not removed, the photoresist, BARC and residues may interfere with subsequent silicidation or contact formation. Typically, the photoresist and crust is removed by oxidative or reductive plasma ashing or wet cleaning. [0009] Ashing is preferred for photoresist removal because the process is carried out under vacuum conditions and as such, is less susceptible to contamination. Ashing is also typically more effective than wet stripping for complete removal of the highly chemically resistant crust.
  • the present invention generally relates to a liquid removal composition and process for the selective removal of post-ash residue, post-etch residue and/or BARC residue from microelectronic devices having said residue thereon.
  • the liquid removal composition includes a fluoride source and a solvent or mixture of solvents having both polar and non- polar functionality.
  • One aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source and at least one amphiphilic solvent, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • a liquid removal composition comprising at least one fluoride source, at least one amphiphilic solvent, and at least one polar solvent, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • a liquid removal composition comprising at least one fluoride source, at least one amphiphilic solvent, at least one polar solvent, and at least one acid-base buffer component, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • Still another aspect of the invention relates to a liquid removal composition, comprising at least one fluoride source, at least one amphiphilic solvent, and residue material, wherein said liquid removal composition is suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon, and wherein said residue material comprises residue selected from the group consisting of post- etch residue, post-ash residue, BARC residue, and combinations thereof.
  • the invention in another aspect, relates to a kit comprising, in one or more containers, liquid removal composition reagents, wherein the liquid removal composition comprises at least one fluoride source and at least one amphiphilic solvent, and wherein the kit is adapted to form a liquid removal composition suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon.
  • the invention relates to a method of removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time and under sufficient conditions to at least partially remove said residue from the microelectronic device, wherein the liquid removal composition includes at least one fluoride source and at least one amphiphilic solvent.
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to at least partially remove post-ash residue, post-etch residue and/or BARC residue from the microelectronic device having said residue thereon, wherein the liquid removal composition includes a fluoride source and at least one amphiphilic solvent.
  • Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising at least partial removal of post-ash residue, post-etch residue and/or BARC residue from the microelectronic device having said residue thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.
  • the present invention relates to liquid compositions that remove post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having such material(s) thereon, said compositions having high selectivity for the residue material thereby minimizing damage to underlying low-k dielectric and metallic interconnect materials, e.g., copper and cobalt.
  • Photoresist refers to undeveloped, developed or hardened photoresist.
  • Hardened photoresist as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during BEOL dual-damascene processing of integrated circuits, and/or ion implanted, e.g., during FEOL processing to implant dopant species in the appropriate layers of the microelectronic device.
  • Post-etch residue corresponds to material remaining following gas- phase plasma etching processes, e.g., BEOL dual damascene processing.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue including, but not limited to, chlorine and fluorine.
  • post-ash residue corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or BARC materials.
  • the post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • “about” is intended to correspond to ⁇ 5 % of the stated value.
  • suitable for removing post-ash residue, post-etch residue and/or BARC residue from a microelectronic device having said residue thereon corresponds to at least partial removal of said residue from the microelectronic device.
  • at least about 90 % of the residue, more preferably at least 95% of the residue, and most preferably at least 99% of the residue is removed from the microelectronic device using the compositions of the invention.
  • hydrofluoric acid and hydrofluoric acid salts etch silicate glasses.
  • the etch rate is influenced by the concentration of fluoride as well as the pH of the solution. It has been shown that the nature of the solvent may have a substantial effect on the etch rates of different materials in fluoride solutions, which may relate to the aforementioned "hydrophobic effect" (Minsek et al., “Selective Etching of Gap-fill Materials Used for Dual-Damascene Processing"; presented at the Sematech Wafer Cleaning and Surface Prep. Workshop, Austin, TX, May 6-7, 2004).
  • the contact angle ( ⁇ ) of water on a solid film is a good indication of solid surface hydrophobicity, whereby a higher angle indicates a more hydrophobic surface.
  • High hydrophobicity (i.e., low hydrophilicity) of the surface corresponds to a less polar (i.e., more non-polar) surface and a lower surface energy, and vice versa.
  • Table 1 includes energy properties, including contact angle and surface energy, of select materials typically used as dielectric insulators in integrated microelectronic devices.
  • Table 1 Water contact angle and surface energy for several dielectric insulators.
  • ionic salts have higher solubility in polar solvents than non- polar solvents because of charge stabilization by higher dielectric media. Therefore, it is expected that hydrofluoric acid salts will be more soluble in the polar interfacial region of a hydrophilic solid than in the less polar region of a hydrophobic solid when both solids are present in a liquid composed of solvent(s) having aniphiphilic properties.
  • the non-polar solvent groups partition to form an interfacial non-polar layer around the hydrophobic solid, said layer acting as a passivating layer since it reduces the contact of the reactive ions with the solid.
  • low-k dielectric materials which are hydrophobic in nature, e.g., CDO glasses, are less susceptible to damage by a liquid removal composition including etchant ions in a solvent having amphiphilic character.
  • the post-ash/post-etch residue i.e., hydrophilic solid, is readily dissolvable in the same liquid removal composition.
  • the liquid removal compositions of the present invention comprising a fluoride ion source and solvent having amphiphilic character, efficiently remove post-etch and post-ash residue from microelectronic devices without damaging the underlying low-k dielectric and metal interconnects materials.
  • the etch rates of low-k dielectric and metal interconnect material in the presence of the liquid removal composition of the invention are less than about 5 A min "1 , more preferably less than about 3 A min "1 , and most preferably less than about 1 A min "1 .
  • the liquid removal compositions may be used to remove photoresist, post-CMP residues, and/or BARC layers from the surface of a microelectronic device.
  • the liquid removal compositions of the present invention may be used to remove contaminating materials from photomask materials for reuse thereof.
  • post-CMP residue corresponds to particles from the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and any other materials that are the by-products of the CMP process.
  • liquid removal compositions of the present invention must possess good metal compatibility, e.g., a low etch rate on the metal.
  • Metals of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum and ruthenium.
  • Compositions of the invention may be embodied in a wide variety of specific fo ⁇ nulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and at least one amphiphilic solvent, i.e., both polar and non-polar in character (hereinafter "solvent B").
  • solvent B both polar and non-polar in character
  • the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and a solvent mixture, wherein the solvent mixture includes at least one polar solvent (hereinafter "solvent A”) and at least one amphiphilic solvent, i.e., solvent B.
  • solvent A polar solvent
  • solvent B amphiphilic solvent
  • the liquid compositions of the invention effectively remove essentially all residue from the surface of the microelectronic device without causing damage to the dielectric material and without causing corrosion of the underlying metal.
  • the "liquid" removal composition corresponds to a composition whereby neither the temperature nor the pressure of the composition is greater than the critical temperature or critical pressure, respectively, of any of the components included therein.
  • the composition according to one embodiment comprises at least one fluoride source, at least one polar solvent (solvent A), and at least one amphiphilic solvent (solvent B), present in the following ranges, based on the total weight of the composition. component % by weight fluoride source(s) about 0.01 to about 10.0 % solvent A about 10.0 to about 80.0% solvent B about 10.0 to about 80.0%
  • the range of mole ratios for solvent A relative to fluoride source(s) is about 1:1 to about 250:1, preferably about 15:1 to about 150:1, the range of mole ratios for solvent A relative to solvent B is about 0.1:1 to about 15:1, preferably about 2:1 to about 10:1.
  • the liquid removal composition may comprise, consist of, or consist essentially of fluoride source, solvent A, and solvent B.
  • the pH range of the liquid removal composition is from about 3 to about 8.
  • Fluoride source can be hydrofluoric acid or hydrofluoric acid salts, wherein the fluoride counterion is a metal-ion free cation, for example ammonium, primary, secondary, or tertiary allcylammoniums, or tetraalkylammonium, phosphonium or the like.
  • the fluoride source may be a bifluoride species, including ammonium bifluoride, tetraalkylammonium bifluorides ((R) 4 NHF 2 ) and alkyl phosphonium difluorides ((R) 4 PHF 2 ).
  • Preferred fluoride sources include, but are not limited to, triethanolamine hydrofluoride (TEA-HF), and ammonium fluoride.
  • Solvent A i.e., the polar solvent, preferably has (i) a high static dielectric constant (e.g., dielectric constant greater than or equal to 30) and (ii) a high surface tension (e.g., surface tension greater than or equal to 40 mJ cm '2 ).
  • Solvent A may be either protic, aprotic, or a combination thereof, but preferably would include at least one protic solvent providing strong hydrogen bonding.
  • Suitable protic solvents include, but are not limited to, water, alkanediols (e.g., ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3 -propanediol, etc.), polyglycols (e.g., diethyleneglycol, dipropyleneglycol or higher polyglycols having the general formula H(OCH 2 CH 2 ) n OH, wherein n >1), alkanetriols (e.g., glycerol), formamide, acetamide, higher amides, and combinations thereof.
  • alkanediols e.g., ethylene glycol, propylene glycol (PG), neopentyl glycol, 1,3 -propanediol, etc.
  • polyglycols e.g., diethyleneglycol, dipropyleneglycol or higher polyglycols having the general formula H(OCH 2 CH
  • Suitable aprotic solvents include, but are not limited to, N-metliylpyrrolidone, N,N-dimethylformamide, N,N-dimethylacetamide, sulfolane, dimethylsulfoxide, ⁇ -butyrolactone, propylene carbonate, and combinations thereof having dielectric and surface energy properties as described above. It is preferred that solvent A presents minimal health and safety hazards, as well as being miscible with water to allow efficient rinsing.
  • the liquid removal composition of the present invention includes less than 10 wt. % water, more preferably less than 5 wt. % water, and most preferably less than 2 wt. % water.
  • the amount of solvent B plus the organic component(s) of solvent A in the liquid removal compositions is preferably greater than 80 wt. %, more preferably greater than 85 wt. % and most preferably greater than 88 wt. %.
  • Representative solvent A solvents are listed in Table 2.
  • N,N-dimethylacetamide 40 38 propylene carbonate 41 65 ⁇ -butyrolactone 40 39 sulfolane 53 43 dimethylsulfoxide 51 47
  • Solvent B i.e., the amphiphilic solvent, preferably has (i) a low static dielectric constant (e.g., dielectric constant less than or equal to 20) and (ii) a low surface tension (e.g., surface tension less than or equal to 40 mJ cm "2 ).
  • solvent B should have amphiphilic properties, i.e., contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups covalently bonded to a hydrophilic group. It is preferred that solvent B present minimal health and safety hazards, as well as being miscible with water to allow efficient rinsing.
  • Solvent B may be a polyglycol ether represented by the formula HO(CH 2 CHR 1 O) n R 2 , wherein R 1 is hydrogen or a methyl group, R 2 is a straight-chained, branched or cyclic C 2 - Ce alkyl group or an aryl group, and n >1.
  • Examples include, but are not limited to, diethylene glycol monomethyl ether, Methylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, and combinations thereof.
  • solvent B may be a polyglycol ether represented by the formula HO(CHR 1 CH 2 O) n R 2 , wherein R 1 , R 2 and n are as introduced above.
  • examples include, but are not limited to, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, propylene glycol n- ⁇ ropyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
  • DPGPE dipropylene glycol n-propyl ether
  • solvent B may also include combinations of the polyglycol ether(s) represented by the formula HO(CH 2 CHR 1 O) n R 2 and the polyglycol ether(s) represented by the fo ⁇ nula HO(CHR 1 CH 2 O) n R 2 .
  • aryl is intended to be broadly construed as referring to carbocyclic (e.g., phenyl, naphthyl) as well as heterocyclic aromatic groups (e.g., pyridyl, thienyl, ruranyl, etc.) and encompassing unsubstituted as well as substituted aryl groups, wherein the substituents of substituted aryl groups may include any sterically acceptable substituents which are compatible with such aryl groups and which do not preclude the efficacy of the co-solvent compound for its intended utility.
  • carbocyclic e.g., phenyl, naphthyl
  • heterocyclic aromatic groups e.g., pyridyl, thienyl, ruranyl, etc.
  • substituted aryl groups may include any sterically acceptable substituents which are compatible with such aryl groups and which do not preclude the efficacy of the co-solvent compound for its intended utility.
  • substituents for substituted aryl groups include one or more of halogen (e.g., fluoro, chloro, bromo, and iodo), amino, amido, Ci -C 4 alkyl, Ci -C 4 alkoxy, nitro, trifluoromethyl, hydroxy, hydroxyalkyl containing a Ci -C 4 alkyl moiety, etc.
  • halogen e.g., fluoro, chloro, bromo, and iodo
  • Representative solvent B solvents are listed in Table 3.
  • the solvent mixture including solvent A and solvent B acts as a surfactant type additive due to its dual hydrophobic/hydrophilic nature, thus avoiding the use of a conventional surfactant which may cause foaming problems and/or absorb onto surfaces.
  • the liquid removal composition includes less than 2 wt. % lactam solvent, more preferably less than 1 wt. % lactam solvent, even more preferably less than 0.1 wt. % lactam solvent, and most preferably is devoid of lactam solvent, wherein the lactam solvent includes piperidones and cyclohexyl analogues of piperidone.
  • the liquid removal composition may further include at least one acid-base buffer component to control and stabilize the pH since the etch rate of materials in fluoride solutions are well known to be highly pH-dependent.
  • Preferred buffers include salts of carboxylic acids (e.g., lactic acid, maleic acid, ascorbic acid, malic acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, citric acid, phthalic acid, other aliphatic and aromatic carboxylic acids, as well as combinations of the foregoing acids), primary, secondary or tertiary amines (e.g., N,N-dimethyldiglycolamine, monoethanolamine, triethanolamine, triethylenediamine, methylethanolamine, methyldiethanolarnine, pentamethyldiethylenetriamine, 1 ,8 ⁇ diazabicyclo[5.4.0]undecene, aminopropylmorpholine, hydroxyethylmorpho
  • the pH of the liquid removal composition is preferably in a range from about 3 to about 8.
  • the range of mole ratios for solvent A relative to buffer is about 1 : 1 to about 125: 1 , preferably about 5: 1 to about 60: 1.
  • the liquid removal compositions of the invention include less than about 2 wt. % of salts of boric acid, more preferably less than 1 wt. %, even more preferably less than 0.1 wt. %, and most preferably are devoid of salts of boric acid.
  • the liquid removal composition may further include chelator(s), passivator(s), and/or corrosion inhibitor(s) to improve metal compatibility, and/or surfactant(s) to improve the amphiphilic properties of the solution.
  • chelator(s), passivator(s), and/or corrosion inhibitor(s) to improve metal compatibility
  • surfactant(s) to improve the amphiphilic properties of the solution.
  • about 0.01 to about 5.0 wt. % surfactant may be present.
  • the liquid removal composition of the present invention includes at least one fluoride source, solvent A, solvent B and an acid-base buffer.
  • the liquid removal composition includes at least one fluoride source, solvent B, and residue material, wherein the residue material includes post-etch residue, post- ash residue and/or BARC residue material.
  • the liquid removal composition includes at least one fluoride source, solvent A, solvent B, and residue material, wherein the residue material includes post-etch residue, post-ash residue and/or BARC residue material.
  • the liquid removal composition includes at least one fluoride source, solvent A, solvent B, an acid-base buffer, and residue material, wherein the residue material includes post-etch residue, post-ash residue and/or BARC residue material.
  • the residue material may be dissolved and/or suspended in the liquid removal composition of the invention.
  • the liquid removal composition includes propylene glycol, dipropylene glycol n-propyl ether, lactic acid, triethanolamine hydrofluoride and water.
  • the liquid removal composition includes diethylene glycol monomethyl ether, triethanolamine hydrofluoride, diglycolamine, citric acid, and water.
  • the present invention relates to a liquid removal composition for removing post-ash residue, post-etch residue and/or BARC residue, said composition including a fluoride source and at least one amphiphilic solvent, i.e., solvent B, as described hereinabove.
  • the composition may include about 0.01 to about 10 wt. % fluoride source and about 90 to about 99.99 wt. % amphiphilic solvent.
  • the range of mole ratios for solvent B relative to fluoride source(s) is about 1:1 to about 100:1, preferably about 10:1 to about 50:1.
  • the liquid removal composition may include fluoride source, at least one amphiphilic solvent, and at least one acid-base buffer.
  • the liquid removal compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the liquid removal compositions may be readily fo ⁇ nulated as single-package fo ⁇ nulations or multi-part formulations that are mixed at the point of use. The individual parts of the multipart formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the liquid removal composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid removal compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. In one particularly preferred embodiment, the liquid removal compositions of the present invention may be packaged and shipped as concentrates that may be diluted at the fab prior to use.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit includes, in one or more containers, a fluoride source, at least one amphiphilic solvent, and optionally at least one polar solvent for combining at the fab.
  • the kit includes a fluoride source, for combining with the amphiphilic solvent(s) and the optional polar solvent(s) at the fab.
  • the kit includes, in one or more containers, a fluoride source and at least one amphiphilic solvent, for combining with the optional polar solvent(s) at the fab.
  • the kit may include at least one acid-base buffer.
  • the containers of the kit must be suitable for storing and shipping said liquid removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the liquid removal composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the liquid removal composition on the surface of the device to be cleaned, by dipping (in a volume of the liquid removal composition) the device to be cleaned, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the liquid removal composition, or by any other suitable means, manner or technique by which the liquid removal composition is brought into removal contact with the device to be cleaned.
  • another material e.g., a pad, or fibrous sorbent applicator element
  • liquid removal compositions of the present invention are usefully employed to remove post-etch residue, post-ash residue and/or BARC residue from resist-coated semiconductor substrates, flat panel displays, MEMS and other microelectronic device structures on which such residue is present.
  • the compositions of the present invention by virtue of their selectivity for such residue relative to low-k dielectric materials that may be present on the microelectronic device, achieve removal of the residue in a highly efficient manner.
  • the liquid removal composition typically is contacted with the device for a time of from about 1 minute to about 10 minutes, at temperature in a range of from about 2O 0 C to about 8O 0 C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the residue material from the device, within the broad practice of the invention.
  • "At least partial removal" of the residue material from the microelectronic device corresponds to at removal of at least 80% of the residue, preferably at least 95% removal. Most preferably, at least 99% of said residue material is removed using the compositions of the present invention.
  • the liquid removal composition which is preferably water miscible, is readily removed from the device to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions of the present invention.
  • the rinse solution includes water.
  • Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • a still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to at least partially remove post- etch or post-ash residue from the microelectronic device having said residue thereon, and incorporating said microelectronic device into said article, wherein the liquid removal composition includes at least one fluoride source and at least one amphiphilic solvent.
  • the etch rate of TEOS is essentially proportional to the concentration of lactic acid (and the increase in water in the composition).
  • the etch rates of porous CDO and PVD copper are both less than 1 A min " ', and as such, the composition concentrations may be readily varied to correspond to the cleaning requirements.
  • Example 3 Wafer cleaning was performed on samples of patterned semiconductor substrate • consisting of layers of low-k dielectric (specifically CDO) and silicon nitride.
  • Plasma etching had been previously performed to transfer a pattern of lines, spaces, and holes of varying dimensions (from about 100 nanometers to greater than 10 microns) from a pattern formed in a top coating of photoresist to the underlying materials.
  • Oxidative plasma ash had been performed to remove the bulk of the photoresist.
  • the pattern consisted of spaces etched into the substrate, stopping at the silicon nitride etch-stop layer.
  • a section of the substrate was cleaned by immersion for a fixed time at a fixed temperature in a static bath containing a liquid removal composition of the present invention.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

La présente invention se rapporte à une composition et à un procédé d'élimination de liquide permettant d'éliminer les résidus post-polissage, les résidus post-gravure et/ou les résidus de revêtement antireflet inférieur (BARC) d'un dispositif microélectronique concerné. La composition d'élimination de liquide selon l'invention contient une source de fluorure et un solvant amphiphile. La composition permet une élimination à haute efficacité des résidus du dispositif microélectronique sans endommagement des espèces métalliques ou des matières diélectriques à faible k utilisées dans l'architecture du dispositif microélectronique.
PCT/US2006/013306 2005-04-11 2006-04-10 Agents nettoyants liquides au fluorure contenant des melanges de solvants polaires et non polaires destines a nettoyer des dispositifs microelectroniques a faible k WO2006110645A2 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67016105P 2005-04-11 2005-04-11
US60/670,161 2005-04-11

Publications (2)

Publication Number Publication Date
WO2006110645A2 true WO2006110645A2 (fr) 2006-10-19
WO2006110645A3 WO2006110645A3 (fr) 2007-03-01

Family

ID=37087593

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/013306 WO2006110645A2 (fr) 2005-04-11 2006-04-10 Agents nettoyants liquides au fluorure contenant des melanges de solvants polaires et non polaires destines a nettoyer des dispositifs microelectroniques a faible k

Country Status (2)

Country Link
TW (1) TW200639595A (fr)
WO (1) WO2006110645A2 (fr)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008052424A1 (fr) * 2006-10-27 2008-05-08 Anji Microelectronics (Shanghai) Co., Ltd. Composé de nettoyage pour éliminer le photorésist
EP1944355A1 (fr) * 2007-01-11 2008-07-16 Air Products and Chemicals, Inc. Composition de nettoyage pour substrats de semi-conducteur
WO2009073596A2 (fr) * 2007-11-30 2009-06-11 Advanced Technology Materials, Inc. Formulations pour nettoyer les structures d'un dispositif de mémoire
EP2094825A2 (fr) * 2006-11-07 2009-09-02 Advanced Technology Materials, Inc. Formulations pour nettoyer des structures de dispositif de mémoire
EP2210677A1 (fr) * 2009-01-22 2010-07-28 Electric Power Research Institute, Inc. Solution de nettoyage et procédé de nettoyage de conducteur électrique
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
CN102109777A (zh) * 2010-12-15 2011-06-29 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
CN102808190A (zh) * 2012-08-31 2012-12-05 昆山艾森半导体材料有限公司 环保型弱碱性低温去毛刺软化液及其制备方法和使用方法
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10133180B2 (en) 2011-10-05 2018-11-20 Avantor Performance Materials Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US20190157156A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN115427547A (zh) * 2020-04-09 2022-12-02 昭和电工株式会社 组合物、及粘接性聚合物的洗涤方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110535B2 (en) * 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
CN103631101B (zh) * 2012-08-22 2018-01-09 得凯莫斯公司弗罗里达有限公司 包含含氟表面活性剂的光阻剥除剂

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068000A (en) * 1996-07-11 2000-05-30 Tokyo Ohka Kogyo Co., Ltd. Substrate treatment method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068000A (en) * 1996-07-11 2000-05-30 Tokyo Ohka Kogyo Co., Ltd. Substrate treatment method

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US8642526B2 (en) 2005-11-09 2014-02-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
WO2008052424A1 (fr) * 2006-10-27 2008-05-08 Anji Microelectronics (Shanghai) Co., Ltd. Composé de nettoyage pour éliminer le photorésist
EP2094825A4 (fr) * 2006-11-07 2012-03-21 Advanced Tech Materials Formulations pour nettoyer des structures de dispositif de mémoire
EP2094825A2 (fr) * 2006-11-07 2009-09-02 Advanced Technology Materials, Inc. Formulations pour nettoyer des structures de dispositif de mémoire
EP1944355A1 (fr) * 2007-01-11 2008-07-16 Air Products and Chemicals, Inc. Composition de nettoyage pour substrats de semi-conducteur
US7879783B2 (en) 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
WO2009073596A2 (fr) * 2007-11-30 2009-06-11 Advanced Technology Materials, Inc. Formulations pour nettoyer les structures d'un dispositif de mémoire
WO2009073596A3 (fr) * 2007-11-30 2009-08-27 Advanced Technology Materials, Inc. Formulations pour nettoyer les structures d'un dispositif de mémoire
EP2210677A1 (fr) * 2009-01-22 2010-07-28 Electric Power Research Institute, Inc. Solution de nettoyage et procédé de nettoyage de conducteur électrique
AU2010200197B2 (en) * 2009-01-22 2011-10-06 Electric Power Research Institute, Inc. Conductor cleaning system and method
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
CN102109777B (zh) * 2010-12-15 2012-08-22 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
CN102109777A (zh) * 2010-12-15 2011-06-29 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
US9790600B2 (en) 2011-09-30 2017-10-17 Entegris, Inc. Etching agent for copper or copper alloy
US10133180B2 (en) 2011-10-05 2018-11-20 Avantor Performance Materials Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US10392560B2 (en) 2011-12-28 2019-08-27 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
CN102808190A (zh) * 2012-08-31 2012-12-05 昆山艾森半导体材料有限公司 环保型弱碱性低温去毛刺软化液及其制备方法和使用方法
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10867859B2 (en) * 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US20190157156A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
CN115427547A (zh) * 2020-04-09 2022-12-02 昭和电工株式会社 组合物、及粘接性聚合物的洗涤方法

Also Published As

Publication number Publication date
WO2006110645A3 (fr) 2007-03-01
TW200639595A (en) 2006-11-16

Similar Documents

Publication Publication Date Title
WO2006110645A2 (fr) Agents nettoyants liquides au fluorure contenant des melanges de solvants polaires et non polaires destines a nettoyer des dispositifs microelectroniques a faible k
EP3040409B1 (fr) Compositions de décapage ayant une grande sélectivité de gravure wn/w
EP1612858B1 (fr) Composition pour décollage et nettoyage et son utilisation
EP1688798B1 (fr) Décapants pour résidus à base aqueuse comprenant du fluorure
KR100595024B1 (ko) 박리제 조성물
EP1619557B1 (fr) Composition pour l'élimination de résidus de photoréserves et/ou de résidus aprés gravure sur un substrat et utilisation de ladite composition
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4755060B2 (ja) 残留物を除去するための水性洗浄組成物及びそれを使用する方法
EP1944355B1 (fr) Composition de nettoyage pour substrats de semi-conducteur
US20060003910A1 (en) Composition and method comprising same for removing residue from a substrate
JP2005528660A (ja) 半導体プロセス残留物除去組成物および方法
KR20060014388A (ko) 반도체 공정에서의 에칭후 잔류물의 제거 방법
JP2007519942A (ja) レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
EP3599633B1 (fr) Compositions de nettoyage de résidus post-gravure et leurs procédés d'utilisation
US7682458B2 (en) Aqueous based residue removers comprising fluoride
KR20220024521A (ko) 반도체 기판용 세정 조성물
JP2007027382A (ja) 基板洗浄液
JP2007311729A (ja) 基板洗浄液

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06749651

Country of ref document: EP

Kind code of ref document: A2