WO2006091548A2 - Réacteur sous pression pour dépôt de couches minces - Google Patents

Réacteur sous pression pour dépôt de couches minces Download PDF

Info

Publication number
WO2006091548A2
WO2006091548A2 PCT/US2006/005995 US2006005995W WO2006091548A2 WO 2006091548 A2 WO2006091548 A2 WO 2006091548A2 US 2006005995 W US2006005995 W US 2006005995W WO 2006091548 A2 WO2006091548 A2 WO 2006091548A2
Authority
WO
WIPO (PCT)
Prior art keywords
outlet
substrate
chamber
set forth
gate
Prior art date
Application number
PCT/US2006/005995
Other languages
English (en)
Other versions
WO2006091548A3 (fr
Inventor
Robert W. Grant
Original Assignee
Nanoscale Components, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanoscale Components, Inc. filed Critical Nanoscale Components, Inc.
Priority to EP06735589A priority Critical patent/EP1851360A2/fr
Publication of WO2006091548A2 publication Critical patent/WO2006091548A2/fr
Publication of WO2006091548A3 publication Critical patent/WO2006091548A3/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/168Control of temperature, e.g. temperature of bath, substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/143Radiation by light, e.g. photolysis or pyrolysis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1685Process conditions with supercritical condition, e.g. chemical fluid deposition

Definitions

  • the present invention relates pressurized reactors, and more particularly to pressurized reactors for use in connection with thin film deposition, such as a chemical fluid deposition (CFD) process.
  • thin film deposition such as a chemical fluid deposition (CFD) process.
  • Integrated circuits, micro-electromechanical systems (MEMS) devices, flat panel displays, fuel cells, and other substrates are commonly formed today by applying or depositing thin films onto substrates.
  • New techniques in patterning and deposition have led the way in fulfilling Moore's Law (the historical increase in processor speed), as well as the trend toward lower cost via smaller feature sizes and denser circuitry.
  • Moore's Law the historical increase in processor speed
  • aspect ratio approaching 100 to 1 (depth to width)
  • existing deposition approaches may not be able to provide the necessary multi-layer conformity on non-planar substrate surfaces.
  • Existing deposition approaches also include other drawbacks, such as slow deposition rates, high carbon content, and poor conductivity.
  • CVD Chemical Vapor Deposition
  • CVD can be used to deposit a dielectric, conductive metal oxide or metal using the decomposition of, for instance, metalorganic precursors in a partial vacuum condition. Since deposition is dependent on precursor concentration arriving to a surface, different deposition rates can result in non-conformal or non-uniform deposition on a non-planar substrate having deep features. "Bridging" may also occur with CVD, eventually closing off the deep feature in the substrate prior to complete coating.
  • a CVD deposited film can include up to about 10% Carbon (i.e., CO 2 , CO etc.) contamination, which can affect the effectiveness of the resulting capacitor.
  • ALD Atomic Layer Deposition
  • Sputtering is a "line of sight" technology, which can be severely limited in non-planar architecture.
  • droplets of metal are caused to travel across a high vacuum space from a source target toward a substrate.
  • Momentum does not allow the droplets to easily turn or diffuse into the sides of a deep feature. As a result, this can leave a coating that essentially excludes the sides of the deep feature.
  • additional problems such as those related to fractional distillation that can cause incorrect stoichiometry in the deep feature. A resulting film, therefore, may not perform properly.
  • CFD Chemical Fluid Deposition
  • materials e.g., metals, metal oxides, or organics
  • Desired materials can be deposited on a substrate, such as a silicon wafer, as a high-purity thin film.
  • the supercritical fluid employed may be used to transport a precursor material to the substrate surface where a reaction takes place, and subsequently transport ligand-derived decomposition products away from the substrate to remove potential film impurities. The entire process takes place in solution under supercritical conditions to provide substantially conformal thin films on small features.
  • the present invention provides, in one embodiment, an apparatus for use with a deposition process utilizing supercritical gases, such as CO 2 , and a metal or metal organic precursor, for depositing conformal thin films onto a substrate, for example, a Silicon substrate.
  • supercritical gases such as CO 2
  • metal or metal organic precursor for depositing conformal thin films onto a substrate, for example, a Silicon substrate.
  • the apparatus includes a chamber within which a pressurized gas can be accommodated for thin film deposition of a substrate.
  • the chamber can include an inlet through which the pressurized gas can be introduced and a platform on which a substrate can be placed.
  • the platform in an embodiment, can include a heating element to raise the temperature of the substrate to a desired level in order to control deposition rate onto the substrate.
  • the apparatus can also include an outlet in substantial alignment with the chamber so that the substrate can subsequently be removed therethrough.
  • a biasing gate may be provided adjacent the outlet for engaging the outlet, so as to minimize outflow of pressure and gas from within the chamber.
  • the gate can move between an open position and a closed position relative to the outlet.
  • the gate may be designed
  • an apparatus for use in thin film deposition includes a processing module and an exit portion coupled thereto.
  • the processing module in an embodiment, includes a chamber within which a substrate may be placed for thin film deposition.
  • a heated platform onto which the substrate may be placed can be provided within the chamber.
  • the processing module may also include an inlet through which a mixture of pressurized gas and a precursor may be injected into the chamber.
  • the exit portion in an embodiment, may include an interior cavity in substantial alignment with the chamber when the exit portion and the processing module are coupled to one another to provide a substantially pressure tight environment.
  • An outlet may be provided in the exit portion so that the substrate can be removed from within the chamber.
  • a biasing gate may further be provided within the exit portion adjacent the outlet for moving between an open position and a closed position. The gate may be designed so as to permit pressure from within the chamber to push the gate against the outlet to enhance a seal thereat.
  • the present invention also provides a method for thin film deposition.
  • the method initially includes providing an apparatus having a chamber within which a pressurized gas can be accommodated, an outlet in substantial alignment with the chamber and through which a substrate can be removed, and a biasing gate positioned within the chamber adjacent the outlet for engaging the outlet, so as to minimize outflow of pressure and gas from within the chamber.
  • a substrate may be placed within the chamber.
  • the gate may be advanced to a closed position, such that the gate engages the outlet.
  • a mixture of a pressurized gas and a precursor material can be injected into the chamber, and a thin film allowed to be formed on the substrate from the mixture. The injection of the mixture into the chamber provides sufficient pressure to push the gate against the outlet to ensure a
  • the substrate may be heated to a desired temperature to control the rate of thin film deposition thereon.
  • the gate may be moved into an open position and the substrate may be removed through the outlet.
  • the present invention further provides another method for thin film deposition.
  • the method initially includes providing a chamber within which a pressurized gas can be accommodated for thin film deposition of a substrate.
  • a substrate may be placed into the chamber through an outlet thereof. Thereafter, the outlet may be blocked from within the chamber so as to minimize outflow of pressure and gas therefrom.
  • a mixture of a pressurized gas and a precursor material may be injected into the chamber, and a thin film be allowed to form on the substrate from the mixture.
  • the injection of the mixture into the chamber in one embodiment, provides sufficient pressure to further act on the blocking of the outlet, so that a substantially pressure tight engagement can be provided thereat.
  • the substrate may be heated to a desired temperature to control the rate of thin film deposition thereon. Once the deposition is complete, and pressure is reduced, the outlet may be unblocked and the substrate removed through the outlet.
  • FIG. 1 illustrates a cross-sectional view of a pressurized reactor, in accordance with an embodiment of the present invention.
  • Fig. 2A illustrates a cross-sectional view of an exit portion of the reactor in Fig. 1 along with a gate in a closed position.
  • Fig. 2B illustrates the gate in Fig. 1 in an open position.
  • FIG. 3 A illustrates a cross-sectional view of the gate in Figs. 2A-B.
  • Fig. 3B illustrates a seal for use in connection with the gate in Fig. 3A.
  • Fig. 4 illustrates a plurality of reactors in Fig. 1 attached to a device for clustering of the deposition process.
  • FIG. 5 illustrates a system for Chemical Fluid Deposition using supercritical conditions in accordance with an embodiment of the present invention.
  • the present invention provides, in one embodiment, an apparatus for use with thin film deposition, including Chemical Fluid Deposition (CFD), such as that utilizing supercritical gases and a metal or metal organic precursor, for sequentially depositing discrete conformal thin films or layers onto a substrate.
  • CFD Chemical Fluid Deposition
  • CFD Chemical Fluid Deposition
  • materials e.g., metals, metal oxides, or organics
  • CFD is generally described in detail in U.S. Patent No. 5,789,027, which patent is hereby incorporated herein by reference.
  • Desired materials can be deposited on a substrate, such as a silicon wafer, as a high-purity (e.g., better than 99%) thin film (e.g., less than 5 microns).
  • the supercritical fluid employed may be used to transport a precursor material to the substrate surface where a reaction takes place, and to subsequently transport ligand- derived decomposition products away from the substrate to remove potential film impurities.
  • the precursor in CFD is non-reactive by itself, and a reaction reagent (e.g., a reducing or oxidizing agent) may be mixed into the supercritical solution to initiate the reaction which forms the desired materials.
  • a reaction reagent e.g., a reducing or oxidizing agent
  • the entire process takes place in solution under supercritical conditions.
  • the process provides a high-purity film at various process temperatures under 250° C, depending on the precursors, solvents, and process pressure used.
  • Solvents that can be used as supercritical fluids are well known in the art and are sometimes referred to as dense gases (Sonntag et al., Introduction to TJiermodynamics, Classical and Statistical, 2nd ed., John Wiley & Sons, 1982, p. 40). At temperatures and pressures above certain values for a
  • a supercritical fluid is less viscous than liquid solvents by one to two orders of magnitude. In CFD, the low viscosity of the supercritical solvent facilitates improved transport (relative to liquid solvents) of reagent to, and decomposition products away, from the incipient film. Furthermore, many reagents which would be useful in chemical vapor deposition are insoluble or only slightly soluble in various liquids and gases and thus cannot be used in standard CVD. However, the same reagents often exhibit increased solubility in supercritical solvents.
  • a supercritical solvent can be composed of a single solvent or a mixture of solvents, including for example a small amount ( ⁇ 5 mol %) of a polar liquid co-solvent such as methanol.
  • Solubility in a supercritical solvent is generally proportional to the density of the supercritical solvent.
  • Ideal conditions for CFD include a supercritical solvent density of at least 0.2 g/cm 3 or a density that is at least one third of the critical density (the density of the fluid at the critical temperature and critical pressure).
  • Reduced temperature with respect to a particular solvent, is temperature (measured in Kelvin) divided by the critical temperature (measured in Kelvin) of the particular solvent, with analogous definitions for pressure and density.
  • the density of CO 2 is 0.60 g/cm 3 ; thus, with respect to CO 2 , the reduced temperature is 1.09, the reduced pressure is 2.06, and the reduced density is 1.28.
  • Many of the properties of supercritical solvents are also exhibited by near-supercritical solvents, i.e., solvents having a reduced temperature and a reduced pressure both greater than 0.8, but not both greater than 1.
  • One set of suitable conditions for CFD includes a reduced temperature of the supercritical or near-supercritical solvent of between 0.8 and 1.6 and a critical temperature of the fluid of less than 150° C.
  • Carbon dioxide (CO 2 ) is a particularly good choice of solvent for CFD.
  • Its critical temperature (31.1° C) is close to ambient temperature and thus allows the use of moderate process temperatures ( ⁇ 80° C). It is also unreactive with most precursors used in CVD and is an ideal media for running reactions between gases and soluble liquids or solid substrates.
  • suitable solvents include, for example, ethane or propane, which may be more suitable than CO 2 in certain situations, e.g., when using precursors which can react with CO 2 , such as complexes of low-valent metals containing strong electron-donating ligands (e.g., phospines).
  • Precursors may be chosen to yield the desired material on the substrate surface following reaction with the reaction reagent.
  • Materials can include metals (e.g., Cu, Pt, Pd, and Ti), elemental semiconductors (e.g., Si, Ge, and C), compound semiconductors (e.g., III-V semiconductors such as GaAs and InP, II-VI semiconductors such as CdS, and IV-VI semiconductors such as PbS), oxides (e.g., SiO 2 and TiO 2 ), or mixed metal or mixed metal oxides (e.g., a superconducting mixture such as Y-Ba-Cu-O).
  • metals e.g., Cu, Pt, Pd, and Ti
  • elemental semiconductors e.g., Si, Ge, and C
  • compound semiconductors e.g., III-V semiconductors such as GaAs and InP, II-VI semiconductors such as CdS, and IV-VI semiconductors such as PbS
  • oxides e.
  • Organometallic compounds and metallo-organic complexes are an important source of metal- containing reagents and are particularly useful as precursors for CFD.
  • metal-containing reagents are particularly useful as precursors for CFD.
  • inorganic metal-containing salts are ionic and relatively insoluble, even in supercritical fluids that include polar modifiers such as methanol.
  • Some examples of useful precursors for CFD include metallo-organic complexes containing the following classes of ligands: beta-diketonates (e.g., Cu(hfac) 2 or Pd(hfac) 2 , where hfac is an abbreviation for 1,1,1,5,5,5- hexafluoroacetylacetonate), alkyls (e.g., Zn(ethyl) 2 or dimethylcyclooctadiene platinum (CODPtMe 2 )), allyls (e.g.
  • beta-diketonates e.g., Cu(hfac) 2 or Pd(hfac) 2 , where hfac is an abbreviation for 1,1,1,5,5,5- hexafluoroacetylacetonate
  • alkyls e.g., Zn(ethyl) 2 or dimethylcyclooctadiene platinum (CODPt
  • precursor selection for CVD is limited to stable organometallic compounds that exhibit high vapor pressure at temperatures below their thermal decomposition temperature. This limits the number of potential precursors.
  • CFD obviates the requirement of precursor volatility, and instead replaces it with a much less demanding requirement of precursor solubility in a supercritical fluid.
  • Any reaction yielding the desired material from the precursor can be used in CFD.
  • low process temperatures e.g., less than 250° C, 200° C, 150° C, or 100° C
  • relatively high fluid densities e.g., greater than 0.2 g/cm 3
  • -9- substrate temperature is too high, the density of the fluid in the vicinity of the substrate approaches the density of a gas, and the benefits of the solution- based process may be lost.
  • a high substrate temperature can promote deleterious fragmentation and other side-reactions that lead to film contamination. Therefore a reaction reagent, rather than thermal activation, may be used in CFD to initiate the reaction that yields the desired material from the precursor.
  • the reaction can involve reduction of the precursor (e.g., by using H 2 or H 2 S as a reducing agent), oxidation of the precursor (e.g., by using O 2 or N 2 O as an oxidizing agent), or hydrolysis of the precursor (i.e., adding H 2 O).
  • An example of an oxidation reaction in CFD is the use of O 2 (the reaction reagent) to oxidize a zirconium beta-diketonate (the precursor) to produce a metal thin film OfZrO 2 .
  • hydrolysis reaction in CFD is water (the reaction reagent) reacting with a metal alkoxide (the precursor), such as titanium tetraisopropoxide (TTIP), to produce a metal oxide thin film, such as TiO 2 .
  • a metal alkoxide the precursor
  • TTIP titanium tetraisopropoxide
  • the reaction can also be initiated by optical radiation (e.g., photolysis by ultraviolet light). In this case, photons from the optical radiation can be the reaction reagent.
  • chemical selectivity at the substrate can be enhanced by a temperature gradient established between the substrate and the supercritical solution.
  • a gradient of 40° C to 250° C or 80° C to 150° C can be beneficial.
  • the temperature of the substrate measured in Kelvin, divided by the average temperature of the supercritical solution measured in Kelvin may typically be maintained between 0.8 and 1.7.
  • the supercritical fluid can participate in the reaction.
  • N 2 O can serve as an oxidizing agent for the metal precursors yielding metal oxides as the desired material.
  • the solvent in the supercritical fluid is chemically inert.
  • the present invention provides, in one embodiment, a reactor 10, as illustrated in Fig. 1.
  • reactor 10 includes a processing module 11 and an exit portion 12 coupled thereto.
  • a platform 14 in one embodiment, may be positioned within chamber 13 to permit the substrate to be placed thereon.
  • the platform 14 may be provided with a heating element 141 to enable the temperature of platform 14 to be raised. In this manner, the temperature of the substrate on the platform 14 can be maintained substantially similar to that of the processing temperature (e.g., supercritical processing temperature).
  • the heating element 141 provided may be a commercially available heating element designed to permit the temperature of the platform 14 to be elevated to a temperature range of from about 20° C to about 400° C, or other desired temperature ranges.
  • the processing module 11 may include an electrical line 142 extending across wall 111 into the chamber 13 and connecting to the heating element 141. Furthermore, to control the temperature of the heating element 141 and prevent the heating element 141 from being elevated to that beyond a desired level, the processing module 11 may include a feedback controller 143, such as a thermocouple device, extending across wall 111 into the chamber 13 and connecting to the heating element 141. Since the electrical line 142 and the feedback controller 143 extend across wall 111, to maintain the integrity of the environment within the chamber 13 (i.e., minimize leakage of the processing
  • a seal 144 may each be placed around the electrical line 142 and the feedback controller 143 where each extends across wall 111 and into chamber 13.
  • the processing module 11, one embodiment, may further include an inlet 15 through which a mixture of a pressurized gas and a deposition (i.e. precursor) material may be injected into the chamber 13.
  • the inlet 15 can be provided with, for instance, a one-way valve to minimize backflow of the pressurized gas and deposition material mixture from the chamber 13.
  • a valve may also be a high pressure valve, so as to permit the valve to withstand the high pressures of the gases used in the deposition process.
  • a service port 16 may be provided. Although only one service port 16 is illustrated, it should be appreciated that additional service ports 16 may be provided, each located at a strategic location on the processing module 11 to provide access to a particular area or component within the processing module 11.
  • exit portion 12 of the reactor 10 exit portion
  • the reactor 10 may be securely coupled to an end of the processing module 11 opposite that of the inlet 15 to provide a pressure tight environment within the chamber 13.
  • the coupling of the exit portion 12 to the processing module 11 may be accomplished by mounting bolts 17.
  • other well known mechanisms in the industry for instance, screws, clamps or any other mounting mechanisms may be used, so long as the exit portion 12 remain securely attached to the processing module 11 to permit the reactor 10 to withstand processing pressure ranging from about 1000 PSI to about 5000 PSI in the presence of a pressurized gas.
  • a seal such as an O-ring seal 121 may be positioned between the exit portion 12 and the processing module 11 to ensure a pressure tight engagement thereat.
  • the reactor 10 may be designed so that the exit portion 12 and the processing module 11 can be integral with one another.
  • the exit portion 12 in an embodiment, may include an interior cavity
  • the interior cavity 18 and outlet 19 can be designed to be in substantial alignment with the chamber 13 when the exit portion 12 and the processing module 11 are coupled to one another. In this manner, the interior cavity 18 and outlet 19 can provide a substantially clear pathway for a substrate to be removed from within the chamber 13.
  • the exit portion 12 includes a biasing gate 20 positioned adjacent outlet 19.
  • the exit portion 12 in one embodiment, may be provided with a recess 21 within which the biasing gate 20 may be located.
  • the gate 20 includes a head 201, designed for sliding engagement with outlet 19, and an elongated shaft 202 extending from the head 201 into the recess 21.
  • the shaft 202 may be connected, at an end opposite to that attached to the head 201, to a biasing device 22 (See also Fig.
  • the gate 20 may be designed to move in an up/down, linear, or piston-like motion.
  • the biasing device 22 may be any linear motion device, such as a stepper motor, air cylinder mechanism, or other similar mechanical or electromechanical devices.
  • gate 20 may be coupled to a pivoting mechanism at one end of the shaft 202 so that when the gate 20 is pivoted, the head 201 may be moved, in similar manner to that of a pendulum, between an open position and a closed position over the outlet 19.
  • shaft 202 As shaft 202 is connected to biasing device 22, it needs to extend across wall 23 of the exit portion 12. Accordingly, to maintain the integrity of
  • an extensible membrane such as bellow 24, may be situated circumferentially about the shaft 202.
  • Bellow 24, as shown in Fig. 2A, may be manufactured so that it can elongate or extend, when the gate 20 is in the closed position, to accommodate the length of the shaft 202 extending from the recess 21 into the interior cavity 18 of exit portion 12.
  • bellow 24 may be manufactured so that it can collapse, when the gate 20 is in the open position (Fig. 3B), to accommodate the shortness of the shaft 202 within recess 21.
  • a vent 25 may be provided to allow air pressure from within the bellow 24 to exit.
  • the vent 25 may extend from within the bellow 24 through head 201 of biasing gate 20.
  • Such a vent may take on other designs, so long as it permits air pressure to escape from within bellow 24.
  • bellow 24 may be secured at one end to a base surface 211 of recess 21 and at an opposite end to head 201 of gate 20 adjacent vent 25.
  • a seal 26 in one embodiment, may be situated between the base surface 211 of recess 21 and the bellow 24, and the bellow 24 may be secured thereto.
  • a filter mechanism 251 may be placed over or within vent 25 to capture potential contaminants that may have entered through juncture 231 and into the bellow 24.
  • a filter in an embodiment, may be a sintered metal filter or any other filters that can withstand the supercritical condition within the reactor 10.
  • Head 31 in one embodiment, includes an upstream surface 311 directly exposed to a substantially high pressure coming from, for instance, within the chamber 13
  • downstream surface 312 may be relatively larger than outlet 32, so that when the head 31 is in the closed position, the downstream surface 312 can cover the outlet 32 and substantially minimize the outflow of the processing pressure and gases from within the chamber 13 thereat.
  • surface 312 and outlet 32 may be provided with complementary sloping angles, such as that illustrated in Figs. 3A and B. Such sloping angles can provide relative easy of engagement between the surface 312 and the outlet 32 when head 31 moves from an open (i.e., down) position to a closed (i.e., up) position or vice versa.
  • an outlet seal 33 may be provided on the downstream surface 312 of head 31.
  • seal 33 may be placed, in one embodiment, substantially continuously along edges 313 of the surface 312.
  • a groove 314 may be provided along edges 313 to permit seal 33 to be securely maintained on the downstream surface 312.
  • Seal 33 in the presence of high pressure, can be deformed to press against wall 34 about outlet 32 to provide a substantially pressure tight engagement.
  • seal 33 may be made from a deformable material that can withstand supercritical pressure and temperature, while minimizing occurrence of skidding along wall 34.
  • seal 33 may be made from a rubber material, such as silicone rubber, polymers, such as fluoropolymers, or other similar materials.
  • seal 33 may be a O-ring seal, such as that shown in Fig. 3A.
  • substantially high pressure e.g., supercritical pressure
  • the head 31 in the closed position as illustrated in Fig. 2A, may be pushed towards outlet 32 to press the O-ring seal against the wall 34 to provide a substantially pressure tight seal between the gate 31 and the outlet 32.
  • seal 33 may be a U-shape seal 35, such as that shown in Fig. 3B.
  • the reactor 10, in an embodiment, may be designed for attachment to a positive, negative and/or atmospheric pressure cluster handler 40, such as that illustrated in Fig. 4.
  • the cluster handler 40 shown in Fig. 4 is designed to accommodate a plurality of reactors 10.
  • reactor 10 may be provided with a cluster mounting flange 122 on the exit portion 12, as illustrated in Fig. 1.
  • a seal such as an O-ring seal 123 may be provided on the exit portion 12 for placement between the exit portion 12 and the cluster handler 40, so as to ensure a pressure tight engagement thereat. It should be noted that the high pressure generated during the deposition process can help to enhance the engagement between the exit portion 12 of the reactor 10 and the handler 40.
  • a vent line may be also be provided to permit communication between the reactor 10 and the handler 40, and to allow the reactor 10 to depressurize toward a transfer pressure prior to the substrate being removed from the reactor 10.
  • the transfer pressure may be positive or negative (vacuum) depending on the situation.
  • the gate within the reactor 10 may be moved to the open position to enable the handler 40 to handle and remove the substrate from within the reactor 10.
  • a system 50 for implementing a CFD deposition protocol (e.g., a hydrogen assisted supercritical deposition protocol) to which a reactor 56, similar to that described above, may be connected at its inlet to the system 50.
  • vessels 51, 52, and 53 may each be provided with a distinct precursor for subsequent deposition of an individual discrete film layer onto a substrate, such as a silicon substrate situated in reactor 56.
  • These precursors examples of which are provided above, may be provided in liquid form and may, in an embodiment, be slightly pressurized by, for instance, N 2 gas. Since the deposition process employed by the present invention involves the use of supercritical gases, such as CO 2 , high pressure valves 54 which can withstand the pressures of supercritical gases may be used throughout the system 50.
  • a micro-volume of a precursor such as that from vessel 51 , may be generated within a coil of small tubing 511. It should be appreciated that a micro- volume each of the precursors from each of vessels 52 and 53 may also be generated within coils 512 and 513 respectively for sequential deposition of subsequent thin film layers on the substrate.
  • a solvent such as CO 2
  • a solvent may be supplied to a pump 55 in either liquid form, or as a high-pressure gas.
  • the solvent may subsequently be condensed to a liquid.
  • the liquid solvent may next be pressurized to supercritical pressure, for CO 2 it is about 1100 PSI or more.
  • a reaction agent such as Hydrogen (e.g., H 2 gas) may be introduced on the low-pressure or high-pressure side of the pump 55 and allowed to mix with the solvent to assist in the supercritical processing of the precursor for subsequent deposition.
  • heat may be added to bring this gas mixture up to supercritical temperature.
  • the temperature is about 31° C.
  • the supercritical gases e.g., CO 2 and H 2
  • the supercritical gases may be flushed through the coils 511, 512, and 513 containing the respective micro-volumes to substantially dissolve the precursor material.
  • the supercritical gas and precursor mixture may be then directed toward a reactor 56, which may contain or be partially filled with a supercritical gas, such as CO 2 , within its processing chamber.
  • a supercritical gas such as CO 2
  • the system 50 in one embodiment, may be conditioned to the temperature of the supercritical gas, so as to minimize shock and preserve the supercritical condition for the process.
  • the system 50 since about 1100 PSI is employed in connection with CO 2 , the system 50 may be maintained at about 31° C to preserve the supercritical condition.
  • the system 50 in an embodiment, may also be provided with, for instance, pressure gauges and metal burst discs to monitor and maintain the safety of the system 50.
  • the temperature of a platform upon which the substrate sits within the chamber of the reactor 56 may be brought up to that similar to the processing temperature.
  • the platform In the case of SCCO 2 and, for instance, a Platinum precursor, the platform may be heated to about 60° C.
  • the temperature may be used as a primary control for the deposition rate.
  • the temperature of the platform may be varied accordingly up to about 400° C.
  • a pressure valve 57 downstream of the reactor 56 may be opened, so that substantially all the gases (e.g., SCCO 2 , H 2 ) and solutes (e.g., precursor ligands, unused precursor) can leave the system 50.
  • gases e.g., SCCO 2 , H 2
  • solutes e.g., precursor ligands, unused precursor
  • additional amounts of SCCO 2 may be used to flush the system 50 since there is substantially good solubility with the gases and the solutes.
  • a cleaning additive may be used with SCCO 2 to enhance the
  • a by-product trap such as an activated carbon canister, may also be provided for use in connection with the cleaning process.
  • reactor 56 may be depressurized toward a transfer pressure.
  • the transfer pressure may be positive or negative (vacuum) depending on the situation.
  • the transfer pressure in one embodiment, can be achieved through the use of a downstream pressure controller 57 or the use of a connected vent line to the handler (not shown). Thereafter, the substrate may be removed through an outlet in reactor 56.
  • the system 50 in an embodiment, may be designed so that a closed environment can be provided from loading of the substrate into the reactor 56 to removal of the substrate from within the reactor 56, so as to minimize exposure of the substrate and reactor 56 to potential contaminants during the entirety of such process.
  • the reactor of the present invention along with its internal gate can be easily adapted for use in the production of integrated circuit.
  • the reactor 10 of the present invention may be used in connection with system 50 to implement a deposition process utilizing supercritical gases, such as CO 2 , and a metal or metal organic precursor to provide conformal thin films onto a high aspect ratio substrate, for example, a Silicon substrate.
  • the high aspect ratio capacitor structures for integrated circuits (Decoupling, Tuning, DRAM, ROM, SRAM, FeRAM etc.), in an embodiment, can include high aspect ratio features over 5:1, and can range from at about 5:1 to about 100:1 depth to width.
  • the reactor 10 may also be used with system 50 to provide conformally deposited thin layers that are substantially pure in content.
  • Each thin film layer in an embodiment, can be provided with about 2% to about 5%
  • the reactor of the present invention can be adapted for use with other processes.
  • the reactor of the present invention can be used in connection with chemical reaction or extraction vessels or any other processes where high pressure within the reactor is needed.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

L'invention concerne un appareil destiné au dépôt de couches minces, permettant le dépôt successif de couches enrobantes discrètes sur un substrat placé dans l'appareil. Cet appareil comprend une chambre et une sortie sensiblement alignée à cette dernière. La chambre comporte une entrée permettant l'introduction d'un gaz sous pression dans la chambre, et une plate-forme sur laquelle un substrat peut être placé afin de permettre le dépôt d'une couche mince sur celui-ci. La sortie comprend une ouverture à travers laquelle le substrat peut être extrait de la chambre. Cet appareil comprend en outre une porte placée dans la chambre, adjacente à la sortie, et pouvant être déplacée relativement à la sortie entre une position ouverte et une position fermée. Lorsque du gaz sous pression est présent dans la chambre, la porte peut être poussée contre la sortie de manière à produire une fermeture sensiblement étanche à la pression au niveau de celle-ci.
PCT/US2006/005995 2005-02-22 2006-02-21 Réacteur sous pression pour dépôt de couches minces WO2006091548A2 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP06735589A EP1851360A2 (fr) 2005-02-22 2006-02-21 Réacteur sous pression pour dépôt de couches minces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US65525305P 2005-02-22 2005-02-22
US60/655,253 2005-02-22

Publications (2)

Publication Number Publication Date
WO2006091548A2 true WO2006091548A2 (fr) 2006-08-31
WO2006091548A3 WO2006091548A3 (fr) 2007-10-18

Family

ID=36927939

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/005995 WO2006091548A2 (fr) 2005-02-22 2006-02-21 Réacteur sous pression pour dépôt de couches minces

Country Status (3)

Country Link
US (1) US20060188658A1 (fr)
EP (1) EP1851360A2 (fr)
WO (1) WO2006091548A2 (fr)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5474278B2 (ja) * 2007-02-22 2014-04-16 ピーエスフォー ルクスコ エスエイアールエル 超臨界プロセス用バッチ式成膜装置及び半導体装置の製造方法
EP2143133A2 (fr) * 2007-04-30 2010-01-13 Nanoscale Components, Inc. Procede discontinu de revetement de caracteristiques a l'echelle nanometrique et dispositifs fabriques a partir de celui-ci
JP2008311277A (ja) * 2007-06-12 2008-12-25 Elpida Memory Inc 成膜処理装置および成膜処理方法
KR20100041529A (ko) * 2008-10-14 2010-04-22 삼성전자주식회사 초임계 유체를 이용한 물질막 증착장치, 이를 포함하는 물질막 증착 시스템 및 물질막 형성방법
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
CN102094183B (zh) * 2010-12-22 2012-07-25 中国工程物理研究院激光聚变研究中心 冷壁间歇式反应器
DE102019134546A1 (de) 2019-12-16 2021-06-17 VON ARDENNE Asset GmbH & Co. KG Verfahren, Steuervorrichtung und Vakuumanordnung

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579374B2 (en) * 1999-05-10 2003-06-17 Asm Microchemistry Oy Apparatus for fabrication of thin films
US6729352B2 (en) * 2001-06-07 2004-05-04 Nanostream, Inc. Microfluidic synthesis devices and methods

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3916822A (en) * 1974-04-26 1975-11-04 Bell Telephone Labor Inc Chemical vapor deposition reactor
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5234540A (en) * 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5641545A (en) * 1995-06-07 1997-06-24 Micron Technology, Inc. Method to deposit highly conformal CVD films
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US7067018B2 (en) * 1997-05-05 2006-06-27 Semitool, Inc. Automated system for handling and processing wafers within a carrier

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579374B2 (en) * 1999-05-10 2003-06-17 Asm Microchemistry Oy Apparatus for fabrication of thin films
US6729352B2 (en) * 2001-06-07 2004-05-04 Nanostream, Inc. Microfluidic synthesis devices and methods

Also Published As

Publication number Publication date
WO2006091548A3 (fr) 2007-10-18
US20060188658A1 (en) 2006-08-24
EP1851360A2 (fr) 2007-11-07

Similar Documents

Publication Publication Date Title
US20060188658A1 (en) Pressurized reactor for thin film deposition
CN110050329B (zh) 在衬底上形成结构的方法
Mackus et al. From the bottom-up: toward area-selective atomic layer deposition with high selectivity
US6992018B2 (en) Chemical fluid deposition for the formation of metal and metal alloy films on patterned and unpatterned substrates
US6984592B2 (en) Systems and methods for forming metal-doped alumina
Hunde et al. Reactive deposition of cobalt and nickel films from their metallocenes in supercritical carbon dioxide solution
US7253122B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
Blackburn et al. Reactive deposition of conformal palladium films from supercritical carbon dioxide solution
CN112309902A (zh) 衬底加工装置和方法
US5362328A (en) Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
Cabanas et al. Alcohol-assisted deposition of copper films from supercritical carbon dioxide
EP1261754A2 (fr) Procedes de preparation de films en ruthenium metal
JP2003514115A (ja) パターン基板およびパターンなし基板上に金属および金属合金被膜を形成するための化学流体被着
CN101208456A (zh) 包括β-双烯酮亚胺金属化合物的原子层沉积系统和方法
CN101124676A (zh) 材料在半导体基片上的超临界流体辅助沉积
JP2010540773A (ja) 無機材料の選択領域堆積法
JP2005537639A (ja) アルコールを用いて金属酸化物を形成するシステムおよび方法
US7482289B2 (en) Methods and apparatus for depositing tantalum metal films to surfaces and substrates
O'Nei et al. Reactive deposition of conformal metal oxide films from supercritical carbon dioxide
US8133811B2 (en) Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, computer program and storage medium
US20060189071A1 (en) Integrated circuit capacitor and method of manufacturing same
Uchida et al. Solubility of titanium diisopropoxide bis (dipivaloylmethanate) complex in supercritical carbon dioxide and its effect on supercritical fluid deposition process
Ohde et al. Low-temperature deposition of conformal copper films in supercritical CO2 by catalytic hydrogen reduction of copper hexafluoroacetylacetonate
US20080206445A1 (en) Selective separation processes
WO2007106462A2 (fr) Procédés de séparation sélective

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006735589

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE