WO2003105210A1 - Technique de creation de modele d'analyse multi-variable pour appareil de traitement, methode correspondante, dispositif et systeme de commande d'appareil de traitement - Google Patents

Technique de creation de modele d'analyse multi-variable pour appareil de traitement, methode correspondante, dispositif et systeme de commande d'appareil de traitement Download PDF

Info

Publication number
WO2003105210A1
WO2003105210A1 PCT/JP2003/007132 JP0307132W WO03105210A1 WO 2003105210 A1 WO2003105210 A1 WO 2003105210A1 JP 0307132 W JP0307132 W JP 0307132W WO 03105210 A1 WO03105210 A1 WO 03105210A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing device
processing
correlation
setting data
data
Prior art date
Application number
PCT/JP2003/007132
Other languages
English (en)
Japanese (ja)
Inventor
友安 昌幸
斌 王
田中 秀樹
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to KR1020047019644A priority Critical patent/KR100628392B1/ko
Priority to JP2004512183A priority patent/JP4224454B2/ja
Publication of WO2003105210A1 publication Critical patent/WO2003105210A1/fr
Priority to US11/003,829 priority patent/US7505879B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • Multivariate analysis model formula creation method for processing device multivariate analysis method for processing device, control device for processing device, control system for processing device
  • the present invention relates to a method for creating a multivariate analysis model formula for a processing device
  • the present invention relates to a multivariate analysis method for a processing device, a processing device control device, and a processing device control system.
  • Keigi 1W Various processing equipment is used in the semiconductor manufacturing process.
  • Processing apparatuses such as plasma processing apparatuses are widely used in a film forming step and a etching step of an object to be processed such as a semiconductor wafer glass substrate. Each processing apparatus has a unique process characteristic for the object to be processed.
  • Japanese Patent Application Laid-Open No. 6-132251 proposes an etching monitor for a plasma etching apparatus.
  • the results of the etching process (uniformity, dimensional accuracy, selectivity to the shape and the underlying film, etc.), the results of the spectral analysis of the plasma, and the fluctuations in the process conditions (pressure, gas flow rate, bias voltage, etc.) are determined in advance. Inspection of the wafer and direct inspection of the wafer by storing these as a database The processing result can be indirectly monitored without performing.
  • Japanese Patent Application Laid-Open No. 10-125660 proposes a process monitoring method for a plasma processing apparatus.
  • a model formula that associates the electrical signal that reflects the plasma state with the plasma processing characteristics is created using a trial wafer before processing, and the detected value of the electrical signal obtained when processing the actual wafer is used as a model.
  • the plasma state is predicted and diagnosed by substituting it into the equation.
  • Japanese Patent Application Laid-Open No. Hei 11-87332 proposes a method and an apparatus for monitoring a process using a plurality of parameters of a semiconductor wafer processing system.
  • process parameters are analyzed, and these parameters are statistically correlated to detect changes in process characteristics and system characteristics.
  • the process parameters include light emission, environmental parameters (pressure and temperature in the reaction chamber, etc.), RF power parameters (reflected power, tuning voltage, etc.), and system parameters (specific system configuration and control voltage). Used.
  • various measurement data are analyzed by a statistical method such as multivariate analysis to create a model formula, and the state of the processing equipment and process characteristics are grasped using this model formula. If there is a difference in the process characteristics of each processing device, for example, due to individual differences between sensors attached to each processing device, monitoring is performed for one processing device.
  • model formula cannot be applied as it is to other processing units of the same type, and various measurement data must be taken for each processing unit and a model formula must be created each time.
  • various measurement data must be taken for each process condition and a model formula must be created each time, which requires a lot of time and effort to create the model formula. there were.
  • the present invention has been made to solve the above problem. If a model formula is created for one processing device even if there is a difference in process characteristics or process conditions for each processing device, the model formula can be used for another model of the same type.
  • the purpose of the present invention is to provide a method for creating a multivariate analysis model formula of a processing device capable of evaluating a state and a multivariate analysis method for the processing device.
  • a multivariate analysis model formula for evaluating the state of a processing apparatus by multivariate analysis or predicting a processing result is described.
  • the reference processing device operates based on new second setting data
  • the correlation between the detection data detected from a plurality of sensors of the reference processing device and the second setting data is multivariate.
  • a second process obtained by analysis, and a correlation between the second setting data and the detected data in a processing device other than the reference processing device, the other processing device obtained in the first process. The correlation in the reference processing device obtained in the first step, and the correlation in the reference processing device obtained in the second step.
  • Model formula creation method is provided.
  • a multivariate analysis method for evaluating a device state of a processing device or predicting a processing result by multivariate analysis The multi-variate analysis of the correlation between the detection data detected from the plurality of sensors of each of the processing devices and the first setting data when each of the processing devices operates based on the first setting data.
  • the correlation between the constant data and the detection data, and the correlation in the other processing device obtained in the first step, determined in the first step determined in the first step It is determined based on the correlation in the reference processing device and the correlation in the reference processing device determined in the second step, and the device state of the other processing device is evaluated based on the determined correlation.
  • the third step is a step in which the second processing in the other processing apparatus is performed with respect to a correlation in the other processing apparatus obtained in the first step.
  • the correlation between the second setting data and the detection data in the another processing device may be obtained.
  • the above multivariate analysis may be performed by, for example, the partial least squares method (PLS method).
  • the processing apparatus may be a plasma processing apparatus.
  • the setting data uses a plurality of control parameters capable of controlling the plasma state
  • the detection data includes a plurality of plasma reflection parameters reflecting the plasma state and a plurality of apparatus state parameters related to the apparatus state. At least one or more parameters selected from a group of parameters that reflect the process finish may be used.
  • the multivariate analysis model The expression may be a correlation expression between detection data calculated from the correlation in the other processing device obtained in the third step and the second setting data and the second setting data. Good.
  • a processing apparatus for processing an object to be processed the processing apparatus controlling the processing apparatus based on predetermined setting data.
  • a control unit comprising: a transmission / reception unit capable of exchanging data by connecting to a network in which the processing unit, at least a reference processing unit, and a host device are connected, and operating based on the first setting data. Then, the detection data detected from the plurality of sensors of the processing device and the first setting data are transmitted to the host device via the network by the transmission / reception means, and based on the transmitted data, The correlation between the first setting data and the detection data obtained by multivariate analysis by the host device is transmitted from the host device to the network by the transmission / reception means. Received through the network, new second setting data is transmitted to the host device via the network by the transmission / reception means, and the second setting data obtained by the host device based on the transmitted data is transmitted.
  • the correlation between the second setting data and the detection data based on the second setting data is received from the host device by the transmitting / receiving means via the network, and the second setting data received from the host device is received.
  • a multivariate analysis model formula is created based on the correlation with the set data, and the state of the processing device is evaluated or the processing result is estimated based on the multivariate analysis model formula.
  • a control device for a processing device characterized by controlling the processing device is provided.
  • the detection data calculating means includes a multivariate analysis model formula for evaluating a device state or predicting a processing result when performing a predetermined process in the another processing device.
  • the setting data of the other processing device to be created is received by the transmission / reception means via the network, and the processing device is connected to the other processing device based on the received setting data and the correlation of the processing device. Detection data of the processing device when operated under the same conditions as the predetermined process may be calculated. Further, in the invention according to the third aspect, the setting data of the other processing device is based on the setting data of the other processing device obtained by multivariate analysis before the predetermined process processing and the setting data. And a correlation between detection data detected from a plurality of sensors of the other processing device when the other processing device operates, and a plurality of the plurality of other processing devices when the other processing device performs the predetermined process. The calculation may be performed using detection data detected from the sensor.
  • the correlation of the second setting data in the processing device is obtained by a multivariate analysis by the host device.
  • the correlation between the data and the first setting data and the reference processing device when the reference processing device, which is obtained by multivariate analysis by the host device operates based on the new second setting data. It may be calculated by the host device based on detection data detected from a plurality of sensors in the device and a correlation between the second setting data.
  • the processing device may be a plasma processing device.
  • the setting data uses a plurality of control parameters capable of controlling a plasma state
  • the detection data includes a plurality of plasma reflection parameters reflecting a plasma state and a plurality of apparatus states related to the apparatus state. At least one or more parameters selected from the group of parameters that reflect the process finish may be used.
  • the multivariate analysis may be performed by a partial least squares method.
  • the processing device may be a plasma processing device. According to a fourth aspect of the present invention, there is provided a control system for a processing device including a control device for controlling a processing device for processing an object to be processed, based on predetermined setting data.
  • a plurality of processing devices connected to the network via transmission / reception means; and a host device connected to the network, wherein the host device has a first setting in each of the plurality of processing devices.
  • the processing device operates based on new second setting data
  • detection data detected from a plurality of sensors of the reference processing device and the second setting data are transmitted from the reference processing device to the network.
  • Receiving the first setting data and the detected data by multivariate analysis transmitting the obtained correlation to the reference processing device via the network
  • the device receives the second setting data from another processing device other than the reference processing device via the network
  • the device receives the second setting data and detection data based on the second setting data. And the correlation between the first setting data in the other processing device obtained by the multivariate analysis and the multivariate analysis.
  • the processing device Based on the correlation for the first setting data obtained in the reference processing device and the correlation for the second setting data in the reference processing device obtained by the multivariate analysis.
  • the obtained correlation is transmitted to the other processing device via the network, and the other processing device receives a multivariate based on the correlation for the second setting data received from the host device.
  • An analysis model formula is created, the device state of the processing device is evaluated or a processing result is predicted based on the multivariate analysis model formula, and the processing device is controlled according to the result.
  • a processing unit control system is provided.
  • the processing device may be a plasma processing device.
  • the setting data uses a plurality of control parameters that can control a plasma state
  • the detection data uses a plurality of plasma reflection parameters that reflect a plasma state.
  • At least one or two or more parameters selected from the group of parameters related to equipment status, equipment status, and parameters that reflect the process finish may be used.
  • the multivariate analysis may be performed by a partial least squares method.
  • the processing device may be a plasma processing device.
  • FIG. 3 is a block diagram showing a configuration of a processing device control system according to the second embodiment of the present invention.
  • FIG. 4 is a diagram for explaining the operation flow when creating a model formula of the processing device control system according to the present embodiment.
  • FIG. 5 is a diagram for explaining an operation flow when creating a model formula of the processing device control system according to the present embodiment, and is a continuation of FIG.
  • FIG. 6 is a diagram for explaining an operation flow when creating a model formula of the processing apparatus control system according to the present embodiment, and is a continuation of FIG.
  • FIG. 7 is a model equation in the processing device control system according to the present embodiment.
  • FIG. 7 is a diagram for explaining an operation flow when performing control by using FIG. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 7 is a diagram for explaining an operation flow when performing control by using FIG. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIGS. 1 a plasma processing apparatus according to a first embodiment of the present invention will be described with reference to FIGS.
  • a plasma processing apparatus 100 of this embodiment includes an aluminum processing chamber (chamber) 101 and a lower electrode 102 disposed in the processing chamber 101.
  • the upper part of the processing chamber 101 is formed as a small-diameter upper chamber 101A, and the lower part thereof is formed as a large-diameter lower chamber 101B.
  • the upper chamber 101 A is surrounded by a dipole ring magnet 105. This dipole ring magnet 105 is formed by housing a plurality of anisotropic segmented columnar magnets in a casing made of a ring-shaped magnetic material. To form a horizontal magnetic field.
  • An entrance for loading and unloading the wafer W is formed in the upper part of the lower chamber 101B, and a gate valve 106 is attached to the entrance.
  • the lower electrode 102 is connected to a high-frequency power source 107 via a matching device 107 A, and the high-frequency power source 107 supplies a high-frequency power P of 1.3.6 MHz to the lower electrode 102. Is applied to form a vertical electric field with the upper electrode 104 in the upper chamber 101A.
  • This high-frequency power P is detected via a wattmeter 107B connected between the high-frequency power supply 107 and the matching box 107A.
  • This high-frequency power P is a controllable parameter.
  • the high-frequency power P is defined as a control parameter together with controllable parameters such as the gas flow rate and the distance between the electrodes described later.
  • control parameters are parameters that can be set for the plasma processing apparatus, and thus can be referred to as setting data.
  • An electric measuring instrument (for example, a VI probe) 107 C is attached to the lower electrode 102 side (high-frequency voltage output side) of the matching device 107 A, and the electric measuring instrument 107 C is connected through the electric measuring instrument.
  • the fundamental and harmonic high-frequency voltage V, high-frequency current I, and the voltage and current waveforms based on the plasma generated in the upper chamber 101A by the high-frequency power P applied to the lower electrode 102 The phase difference ⁇ between them is detected as electrical data.
  • the matching device 107 A has, for example, two variable capacitors C 1 and C 2, a capacitor C and a coil L built therein, and the variable capacitors CI and C 2 are connected. The impedance matching is taken through. The capacitances of the variable capacitors C 1 and C 2 in the matching state, and the high-frequency voltage V p measured by the measuring device (not shown) in the matching unit 107 A are the APC (Automatic pressure controller) described later.
  • APC Automatic pressure controller
  • the parameters indicate the device state during processing together with the opening degree, etc.
  • the capacity of the variable capacitors C 1 and C 2, the high-frequency voltage V pp, and the opening degree of the APC, which indicate the device state are referred to as device state parameters, respectively.
  • the device state parameter is a parameter that cannot be controlled and is data that can be detected, so it can be referred to as detected data.
  • An electrostatic chuck 108 is arranged on the upper surface of the lower electrode 102, and a DC power supply 109 is connected to the electrode plate 108A of the electrostatic chuck 108.
  • the wafer W is electrostatically attracted by the electrostatic chuck 108 by applying a high voltage from the DC power source 109 to the electrode plate 108 A under a high vacuum.
  • a focus ring 110 is arranged around the outer periphery of the lower electrode 102, and the plasma generated in the upper chamber 101A is collected in the wafer W.
  • an exhaust ring 111 attached to an upper part of the support 103 is disposed below the focus ring 110.
  • a plurality of holes are formed in this exhaust ring 111 at equal intervals in the circumferential direction over the entire circumference, and the gas in the upper chamber 101A is exhausted to the lower chamber 101B through these holes. .
  • the support 103 can be moved up and down between the upper chamber 101A and the lower chamber 101B via the ball screw mechanism 112 and the bellows 113. Therefore, when the wafer W is supplied onto the lower electrode 102, the lower electrode 102 descends to the lower chamber 101B via the support 103, and the gate electrode is turned off. The wafer 106 is opened and the wafer W is supplied onto the lower electrode 102 via a transfer mechanism (not shown).
  • the inter-electrode distance between the lower electrode 102 and the upper electrode 104 is a parameter that can be set to a predetermined value, and is configured as a control parameter as described above.
  • a refrigerant channel 103 A connected to the refrigerant pipe 114 is formed inside the support 103, and the refrigerant is circulated in the refrigerant channel 103 A through the refrigerant pipe 114.
  • the wafer W is adjusted to a predetermined temperature.
  • the support 103, the insulating material 102 A, the lower electrode 102 and the electrostatic chuck 108 have gas passages 103 B respectively, and the gas introduction mechanism 115 is used to supply gas. He gas is supplied as a pack side gas at a predetermined pressure into the gap between the electrostatic chuck 108 and the wafer W via the piping 115 A, and the electrostatic chuck 108 is supplied via the He gas.
  • the thermal conductivity between the wafer and the wafer W is increased.
  • Reference numeral 1 16 is a bellows cover.
  • a gas inlet 104 A is formed on the upper surface of the shower head 104, and a process gas supply system 118 is connected to the gas inlet 104 A via a pipe 117. ing.
  • the process gas supply system 118 includes an Ar gas supply source 118 A, a CO gas supply source 118 B, a C 4 F 8 gas supply source 118 C, and an O 2 gas supply source 118 D. Have.
  • These gas sources 1 1 8 A, 1 1 8 B, 1 1 8 C, 1 1 8 D are valves 1 1 8 E, 1 1 8 F, 1 1 8 G, 1 1 8 H and mass flow controller 1 1
  • Each gas is supplied to the shower head 104 at a predetermined set flow rate via 81, 118J, 118K, and 118L, and has a specified mixing ratio inside. Adjusted as a mixed gas.
  • Each gas flow rate is controlled by the respective mass flow controller 1 18 I, 1 18 J, 1 18 K, 1 1
  • These parameters can be controlled and detected by 8L, and are configured as control parameters as described above.
  • a plurality of holes 104B are uniformly arranged over the entire surface, and the shower head 104 and the upper chamber 1 0 1 A mixed gas is supplied as process gas into A.
  • An exhaust pipe 101C is connected to the lower exhaust hole of the lower chamber 101B via an exhaust system 119 consisting of a vacuum pump and the like connected to the exhaust pipe 101C.
  • the inside of the processing chamber 101 is exhausted to maintain a predetermined gas pressure.
  • the exhaust pipe 101C is equipped with an APC valve 101D, and the opening is automatically adjusted according to the gas pressure in the processing chamber 101. This opening is an equipment state parameter that indicates the equipment state and cannot be controlled.
  • a detection window 121 is provided on a side wall of the processing chamber 101, and a detection window 122 is provided outside the side wall of the processing chamber 101 through the detection window 121.
  • a spectroscope (hereinafter referred to as “optical measuring instrument”) that detects plasma emission over multiple wavelengths is provided. The plasma state is monitored based on optical data on a specific wavelength obtained by the optical measuring device 120, and for example, the end point of the plasma processing is detected. This optical data, together with electrical data based on the plasma generated by the high-frequency power P, constitutes a plasma reflection parameter that reflects the plasma state.
  • the plasma processing apparatus 100 For example, a multivariate analysis means 200 as shown in FIG. 2 is provided.
  • the multivariate analysis means 200 is composed of a multivariate analysis program storage means 201 for storing a multivariate analysis program, a control parameter measuring device 221, a plasma reflection parameter measuring device 222, and a device state parameter measuring device.
  • a control parameter signal sampling means 202 for intermittently sampling the detection signal from 222, a plasma reflection parameter signal sampling means 203 and an apparatus state parameter signal sampling means 204 are provided.
  • analysis results such as a plurality of plasma reflection parameters (electrical data and optical data), a plurality of device state parameters related to the device state, and a model formula relating a plurality of control parameters, and data necessary for the analysis are obtained.
  • the multivariate analysis means 200 is connected to a processing device control means 225 for controlling the plasma processing apparatus 100 based on the control parameters, an alarm 226 and a display means 224, respectively. I have.
  • the processing device control means 225 continues or interrupts the processing of the wafer W based on, for example, a signal from the prediction / diagnosis / control means 207.
  • the alarm device 226 and the display means 224 are used to control one of the control parameters, a plurality of plasma reflection parameters, and the device state parameters based on signals from the prediction, diagnosis, and control means 207 as described later. Notify abnormalities according to purpose. Further, the analysis data storage means 205 stores data relating to each of the above parameters and These processing data (processing data used for multivariate analysis) are stored.
  • the control parameter measurement device 221, the plasma reflection parameter measurement device 222, and the device state parameter measurement device 223 shown in Fig. 2 are flow rate detectors, optical measurement devices, and high-frequency voltage Vpp measurement devices. The measurement devices for multiple control parameters, the measurement devices for multiple plasma reflection parameters, and the measurement devices for multiple device state parameters are shown together as one.
  • a plasma processing apparatus 100A as a processing apparatus used as a reference when creating a new model formula
  • a plasma processing apparatus 100B as a processing apparatus other than the reference processing apparatus.
  • sensors such as the electrical measuring instrument 107C and the optical measuring instrument 120 also have individual differences between plasma processing equipment due to manufacturing errors, etc., and are therefore identical to the same type of plasma processing equipment. Even if a type of sensor is used, the same detection data cannot be obtained.
  • a multivariate analysis model formula for each plasma processing device even for the same type of plasma processing device, and to convert one multivariate analysis model formula into a multivariate analysis model formula for another plasma processing device of the same type. It cannot be diverted as an expression. Therefore, in the present embodiment, for example, even if there is an individual difference in manufacturing between the plasma processing apparatuses 100 A and 100 B and an individual difference between a plurality of sensors, the plasma processing apparatus 100 A is created.
  • the obtained multivariate analysis model formula can be applied to another plasma processing apparatus 100B.
  • a partial least squares method hereinafter, referred to as a “PLS (Partial Least Squares) method” is used as one method of the multivariate analysis.
  • a multivariate analysis model formula for each of the processing units 100A and 100B is created to find individual differences between the devices, and a model formula that absorbs this individual difference is created. Details of the PLS method are described in, for example, JOURNAL OF CHEMOMETRICS, VOL.2 (PP.211-228) (1998).
  • a plurality of control parameters (setting data) are used as target variables, and a plurality of plasma reflection parameters (detection data including electrical data and optical data) are used as explanatory variables.
  • model equation a regression equation (hereinafter simply referred to as “model equation”) shown in the following (1) is created by associating the matrix X with the objective variable as the component and the matrix Y with the explanatory variable as the component (first step). .
  • the arithmetic means 206 of each of the plasma processing apparatuses 100 A and 100 B uses the PLS method, which is a method of multivariate analysis, to calculate the model equation based on the explanatory variables and objective variables obtained in each experiment.
  • Regression matrices K a and K b are calculated, and these model equations are stored in the analysis data storage means 205 as described above.
  • K a and K b are the regression matrices of the respective model equations
  • a represents the plasma processing apparatus 100 A
  • b represents the plasma processing apparatus 100.
  • the control parameters are shaken to detect the control parameters and the plasma-reflecting parameters with multiple sensors. In this case, when the range in which the control parameters (high-frequency power, pressure in the champer, process gas flow rate, etc.) are narrow, the control parameters can be approximated in a linear form as shown in the following equation (3).
  • the range over which is varied is large, it can be approximated as a non-linear equation that includes the square and cubic power and the first and second order cross terms for the control parameters as shown in the following equation (4).
  • the control parameters of the same range and the same value are used for the plasma processing apparatus 10 OA and the plasma processing apparatus 10 OB for such control parameters.
  • the applicant can obtain the regression matrices by the same calculation procedure as the PLS method proposed in Japanese Patent Application No. 2001-3898608. Then, the description of the calculation procedure is omitted.
  • the plasma processing apparatus 1 0 0 individual differences between individual differences and the respective sensor between A and the plasma processing apparatus 1 0 0 B is the formula (1), the difference between the regression matrix K a, K b of formula (2) Appears as.
  • a plurality of explanatory variables and a plurality of objective variables are measured in advance by an experiment using a training set of the wafer.
  • 18 wafers TH-OX Si
  • TH-OXSi is a wafer on which a thermal oxide film is formed.
  • control parameters (setting data) can be set efficiently using an experimental design method, and a minimum number of experiments can be performed.
  • control parameters serving as objective variables are shaken for each training wafer within a predetermined range around a standard value, and the training wafer is etched.
  • control parameters such as the flow rate of each process gas, the pressure in the chamber, and the plasma reflection parameters such as electrical data and optical data are measured for each training wafer multiple times.
  • the average value of these control parameters and the plasma reflection parameters is calculated via the calculating means 206.
  • the average value of the control parameters is used as setting data, and the plasma reflection parameters are used as detection data.
  • the range in which the control parameters are varied is assumed to be the range in which the control parameters fluctuate to the maximum during the etching process, and the control parameters are varied within this assumed range.
  • high-frequency power, Pressure, vertical flow control parameters of the both electrodes 1 0 2, 1 0 4 between the gap size ⁇ Pi each Purosesuga scan (A r gas, CO gas, C 4 F 8 gas ⁇ Pi O 2 gas) (setting data) Used as The standard value of each control parameter differs depending on the etching target.
  • An experiment is performed with the same control parameters (setting data) in the same manner as in the plasma processing apparatus 100 A, and the control parameters (setting data) and the plasma reflection parameters (detection data) are obtained in the plasma processing apparatus 100 B as well.
  • control parameters are set for each training wafer in the range of levels 1 and 2 shown in Table 1 below, centering on the standard values, and the etching process is performed on each training wafer.
  • Electrical data such as I and phase difference ⁇ are measured as detection data, and the emission spectrum intensity (optical optics) in the wavelength range of 200 to Data) is measured as detection data, and these detection data (electrical data and optical data) are used as plasma reflection parameters.
  • each control parameter shown in Table 1 below is measured using the respective control parameter measuring device 221.
  • each of the above control parameters is set to the standard value of the thermal oxide film, and five dummy wafers are processed in advance with the standard value. 0 Stabilize OB. Subsequently, etching processing of 18 training wafers is performed in the plasma processing apparatus 100 A and 100 OB. At this time, the plasma processing apparatus 1 0 0 A, each of the control parameters as shown in Table 2, i.e., process gas (A r, CO, C 4 F 8, O 2) flow rate, the Chiya Nba chamber Each training wafer is processed by oscillating pressure and high-frequency power within the above-mentioned level 1 and level 2 for each training wafer.
  • process gas A r, CO, C 4 F 8, O 2
  • a plurality of electrical data and a plurality of optical data are obtained from each measuring device for each training wafer. These are stored, for example, in the analysis data storage means 205 as actual measurement values. Then, the arithmetic means 206 calculates the average value of the measured values of the plurality of control parameters and the average value of the measured values of the plurality of plasma reflection parameters (electrical data and optical data). The average value is stored in the analysis data storage means 205 as a target variable and an explanatory variable.
  • the operation unit 2 0 6 using PLS method based on these calculation data obtaining the model equation of the regression matrix K a of (1) (as the first E).
  • control parameters were assigned as shown in Table 2 below, as in the case of the plasma processing apparatus 100A, and the average value of the measured values of each parameter was calculated.
  • the objective variable and description Using it as a variable, the regression matrix Kb of the model equation in (2) above is determined (first step).
  • L1 to L18 indicate the numbers of the training wafers.
  • the control parameters such as the process gas flow rate etc. were calculated from the standard values as shown in Table 3 below under the new process conditions shown in Table 3 using the plasma processing apparatus 100 A.
  • the 20 test wafers (TH-OXSi) are processed by shaking, and the plasma reflection parameters and equipment state parameters at this time are detected by the respective sensors.
  • the plasma processing apparatus was operated with several control parameters set to the standard values of the process conditions, and five bare silicon wafers were flown into the processing chamber 101 as dummy wafers. Stabilize plasma processing equipment.
  • the support body 103 is moved through the pole screw mechanism 112 via the pole screw mechanism 112.
  • the wafer is lowered to the lower chamber 10 1 B of the processing chamber 101, and at the same time, a dummy wafer is carried in from the entrance where the gate valve 106 is opened and placed on the lower electrode 102.
  • the gate valve 106 is closed and the exhaust system 119 is activated to maintain the processing chamber 101 at a predetermined degree of vacuum. This exhaust allows the AP C
  • the opening of Lup 101D is automatically adjusted according to the displacement.
  • He gas is supplied as a back gas from the gas introduction mechanism 115, and the thermal conductivity between the wafer W and the lower electrode 102, specifically, the electrostatic chuck 108 and the wafer W is increased. Increase the cooling efficiency of wafer W.
  • the opening of the APC valve 101D is automatically adjusted in accordance with the process gas supply amount and the exhaust amount to set the pressure of the process gas in the processing chamber 101 to lOOmTorr.
  • electrical data and optical data are each measured multiple times as detection data via the electrical measuring instrument 107C and the optical measuring instrument 120, and these measured values are stored in a storage (not shown).
  • an average value is calculated using the calculating means 206.
  • the high-frequency power is changed from 150 W to 1980 W, and the etching process is performed with the other control parameters at the above-mentioned standard values.
  • the electrical data and optical data are measured as detection data in the same way as for the first test wafer, and the average value is calculated for each.
  • each control parameter is set as shown in Table 3 each time, and each test wafer is etched, and the plasma reflection parameters (electrical data, optical Data) as detection data, and calculate the average value of each.
  • the plasma reflection parameters electrical data, optical Data
  • a new model equation shown in the following (5) is created in the same manner as the model equation in the above (1) ( Second step).
  • the model equation (5) for the plasma processing apparatus 100 A is obtained for example, from the model equation (1) of the plasma processing apparatus 100 A obtained in advance, the model equation (2) of the plasma processing apparatus 100 B, and the above-mentioned model equation (7), the following equation (8) is obtained.
  • a new model formula for the simple plasma processing apparatus 100B can be created (third step).
  • K a new model equation (8) of the plasma processing apparatus 100B can be created, and the state of the plasma processing apparatus 100B can be calculated using the new model equation (8). Can be evaluated. This is because if the above-mentioned model equation (5) for the plasma processing apparatus 100 A is created based on experiments, the above equation (8) can be obtained without conducting another experiment for the plasma processing apparatus 100 B. This means that it can be created as a new model formula of the plasma processing apparatus 100B.
  • the new model equation (8) created in this manner may be stored in the analysis data storage means 205 of the plasma processing apparatus 100B.
  • the solution is used to predict and calculate the values of a plurality of control parameters from the average values (detection data) of the plurality of plasma reflection parameters.
  • a new model equation (8) from the analysis data storage means 205 can be used.
  • the plasma processing apparatuses 100 A and 100 B operate based on the first setting data (for example, control parameters), the plasma processing apparatuses 100 A and 1
  • the correlation between detection data (for example, plasma reflection parameters) detected from a plurality of 0 B sensors and the first setting data K a in Eq. (1), K b in Eq.
  • Equation (8) a multivariate analysis model equation for evaluating the device state of the processing apparatus 100B or predicting the processing result. For this reason, new setting data based on new process conditions is applied to the wafer in the reference plasma processing apparatus 100A. If an experiment for plasma processing is performed to create the model equation (5), the new model equation (5) for the plasma processing apparatus 100A can be diverted to a processing apparatus other than the reference processing apparatus, for example, a plasma processing apparatus.
  • a new model equation (8) for the device 100B can be created. Therefore, a new model equation (8) can be created for the plasma processing device 100B without performing experiments with new setting data in order to create a new model equation. As a result, it is possible to greatly reduce the load of creating a model formula for the plasma processing apparatus 100B.
  • the third step is the correlation K between the second setting data and the detected data with respect to the correlation Kb in the plasma processing apparatus 100 B obtained in the first step.
  • a multivariate analysis model formula is created by associating a plurality of control parameters that can control the plasma state with a plurality of plasma reflection parameters that reflect the plasma state.
  • the setting data (control parameters, etc.) are used as target variables, and the detection data (plasma reflection parameters, etc.) are used as explanatory variables.
  • the detection data plasma reflection parameters, etc.
  • plasma processing If the multivariate analysis model equation (5) is created for the apparatus 100A, the detection data (plasma reflection parameters, apparatus state) of the plasma processing apparatus 100B can be obtained using the correlation K and the setting data Xb '. Parameters, etc.), and a multivariate analysis model equation (8) based on new setting data for the plasma processing apparatus 100B can be created.
  • FIG. 3 is a block diagram illustrating a schematic configuration of the entire control system according to the present embodiment.
  • the control system 300 is configured by connecting a host device 310 and a plurality of plasma processing devices 100 A,..., 100 ON via a network 320.
  • Each of the plasma processing apparatuses 100 A,..., 100 N has the same configuration as that shown in FIG. 1, and a detailed description thereof will be omitted.
  • Each of the plasma processing apparatuses 100 A and 100 N includes multivariate analysis means 200 as shown in FIG. In the present embodiment, for example, the multivariate analysis means 200 shown in FIG. 2, the processing device control means 25, and the transmission / reception device 150 shown in FIG. Plays a role as a control device.
  • the host device 310 is composed of at least arithmetic means 312 for performing various operations, multivariate analysis program storage means 314 for storing a multivariate analysis program such as the above-mentioned PLS method, etc., necessary for analysis results and analysis.
  • the host device 310 may be constituted by, for example, a host computer in a semiconductor manufacturing factory, or may be constituted by a personal computer connected to the host computer.
  • Each of the plasma processing apparatuses 100 A,..., 100 ON is between each plasma processing apparatus 100 OA,..., 100 N and the host apparatus 310 or each plasma processing apparatus 100 A.
  • Transmission / reception device for sending and receiving various data between 100 N N 15 OA,..., 150 N
  • input means for inputting various data such as control parameters (setting data) 1 5 2 A, ⁇ , 15 2 N .., 150 ON
  • the network 320 connects the host device 310, each plasma processing device 100A,..., .100N, and the like so that bidirectional communication is possible.
  • a public line network such as the Internet is mentioned.
  • the medium for connection to the network 320 may be an optical fiber cable such as FDD (Fiber Distributed Data Interface), a coaxial cable or twisted pair cable using Ethernet, or a wireless communication using IEE802.lib. It may be a wired or wireless satellite network.
  • the burden of creating a model equation with the multivariate analysis means 200 of the plasma processing apparatus 100 can be reduced.
  • the apparatus state is evaluated using a new model formula from each detected data when the actual wafer is processed by the plasma processing apparatus 100, and the prediction, diagnosis, and control means 2007 are output according to the result.
  • the plasma processing apparatus 100 is controlled by the processing apparatus control means 225 based on the instruction from the CPU.
  • the processing of the control system 300 will be described with reference to the drawings.
  • a new model created by the plasma processing apparatus 100A is diverted to the plasma processing apparatus 100B, and plasma processing is performed.
  • FIGS. 4 to 6 show the operation flow of the processing when a new model of the plasma processing apparatus 100B is created. More specifically, Fig. 4 to Fig. 6 show that the plasma processing unit 100A is the reference processing unit and the plasma processing units 100B, ..., 100N are the processing units other than the reference processing unit. It shows the operation flow for the host device, the reference processing device, and the processing devices other than the reference processing device in the case of the above. 4 to 6 show the processing of the plasma processing apparatus 100B as a representative processing apparatus other than the reference processing apparatus. Even when a new model formula is created for the other plasma processing apparatuses 100 C,..., 100 N, the same operation as that of the plasma processing apparatus 100 B is performed.
  • each plasma processing apparatus 1 0 0 A, ⁇ , 1 0 0 N of regression matrix K a, ⁇ ⁇ ⁇ , the K n obtains each plasma processing apparatus 1 0 0 A, ⁇ , 1 0 0 N of regression matrix K a, ⁇ ⁇ ⁇ , the K n.
  • the specific processing is described below.
  • the setting data for example, control parameters
  • the plasma processing apparatus 100 0 ⁇ which is the reference processing apparatus, sets a step S 110.
  • the wafer W is processed according to the setting data to obtain detection data (for example, plasma reflection parameters), and these setting data and detection data are transmitted to the host device 310 via the network 320.
  • a processing apparatus other than the reference processing apparatus for example, a plasma processing apparatus 100 B is provided with setting data for obtaining the regression matrix Kb (eg, Control parameters) are input from the input means 1552B and set, in step S510, the wafer W is processed according to the set data to obtain detection data (for example, plasma reflection parameters).
  • the setting data and the detection data are transmitted to the host device 310 via the network 320.
  • the host device 310 receives the setting data and detection data from each of the plasma processing devices 10OA,..., 10ON and stores them in the analysis data storage means 316. I do.
  • the average value of the setting data received in step S220 for each wafer is obtained by the calculating means 312, and these are stored in the analysis data storing means 316 as the objective variables Xa and Xn. together, determine the average value for each wafer of the detected data received by the computing means 3 1 2, these explanatory variables Y a, ..., stored in the analysis data storing means 3 1 6 as Y n. Subsequently, the host device 310 sets the setting data (objective) in the same manner as in the first embodiment based on the program by the PLS method from the multivariate analysis program storage means 314 in step S230.
  • the plasma processing apparatus 100 A which is the reference processing apparatus, is used in step S 1 2 0 set by the host apparatus 3 1 0 by the data X a, receives the detection data Y a, regression matrix K a, and stores as the model formula as shown in the above (1).
  • a processing unit other than the reference processing unit for example, a plasma processing apparatus 1 0 0 B is Step S 5 20 configuration data from the host apparatus 3 1 0 in X b, detection data Y b, the regression matrix K b It is received and stored as a model formula as shown in (2) above.
  • FIG. 5 a new model of the plasma processing apparatus 100A as the reference processing apparatus is created. Specific processing will be described below.
  • the plasma processing apparatus 100A sets this setting in step S130.
  • the wafer W is processed according to the data to obtain new detection data (for example, plasma reflection parameters), and these new setting data and new detection data are transferred to the host device 310 via the network 320.
  • the host device 310 receives new setting data and new detection data from the plasma processing device 100A, which is the reference processing device, and stores them in the analysis data storage means 316. .
  • step S340 new setting data X, new detection data Y, and new regression matrix are transmitted to the plasma processing apparatus 100A via the network 320.
  • step S140 the plasma processing apparatus 100A, which is the reference processing apparatus, receives the setting data X, the detection data Y, and the regression matrix K from the host apparatus 310 and stores them as a new model equation. I do.
  • a model formula for a processing apparatus other than the reference processing apparatus, for example, a plasma processing apparatus 100B is obtained.
  • step S530 the plasma processing apparatus 100B inputs the setting data for obtaining the regression matrix Kb ; (the same setting data as the setting data for obtaining the regression matrix K) in step S530. 2B, this setting data is sent to the host device 310 via the network 320. Send.
  • step S410 the host device 310 receives new setting data from the plasma processing device 100B, which is a processing device other than the reference processing device, and stores the new setting data in the analysis data storage means 316.
  • the average value of the new setting data for each wafer is obtained by the calculating means 312, and these are stored in the analysis data storing means 316 as setting data (explanatory variables) X, ..., X.
  • step S430 the host device 310 sets new setting data (X,..., X) and a new regression matrix (K — based on the model formula shown in the above formula (7)).
  • new detection data ( ⁇ NO) is calculated and stored in the analysis data storage means 316, and these new setting data ( Xb ′..., X) and a new regression matrix (K, ..., K) and new detection data (Y, ⁇ , ⁇ ⁇ ')
  • the data is transmitted to the corresponding plasma processing apparatus 100 B,..., 100 ON via the network 320.
  • New detection data ( ⁇ ⁇ ,..., ⁇ NO) is received and stored as a new model equation as shown in (8) above.
  • FIG. 7 shows the operation flow of the host device and the operation flow of each plasma processing apparatus when evaluating the state of the apparatus based on the new model formula created for each plasma processing apparatus.
  • setting data for creating a new model equation for example, each control parameter when a control parameter is assigned The range is between the maximum and minimum values for the standard value.
  • the plasma processing apparatus 100 performs setting data (standard conditions, for example, Table 1) for actually processing the wafer in step S620. (Standard value shown) is input by the input means 152, the wafer W is plasma-processed based on this setting data, and the setting data and detection data measured for each wafer W are acquired. Then, the detection data is transmitted to the host device 310 via the network 320. In step S710, the host device 310 receives the setting data and the detection data from the plasma processing device 100 for each wafer and stores them in the analysis data storage means 316.
  • the respective average values are obtained and stored in the analysis data storage means 316 as setting data (target variable) X 'and detection data (explanatory variable) ⁇ '.
  • the host device 310 transmits the setting data X 'and the detection data Y' to the plasma processing device 100 in step S720.
  • the plasma processing apparatus 100 receives the setting data X ′ and the detection data ′ in step S630, and uses them as the actual setting data X obs ′ and the actual detection data Yobs ′ in the analysis data storage means. Store in 205.
  • step S640 the actual detection data Yob is applied to the new model equation as shown in the above equation (8) to calculate the prediction setting data Xpre ', which is stored in the analysis data storage means 205.
  • step S650 the plasma processing apparatus 100 determines whether the predicted setting data Xpr ⁇ for the actual setting data Xobs' is normal or abnormal based on whether or not the setting data Xpr ⁇ is within the allowable fluctuation range. .
  • the predicted setting data Xpr ⁇ force against the actual setting data Xob ⁇ is judged to be normal if it is within the allowable fluctuation range, and abnormal if it is outside the allowable fluctuation range.
  • the plasma processing apparatus 100 is stopped in step S660, for example, by the processing apparatus control means 25, and the display means 224 and the alarm device 226 are turned on. Notify abnormalities.
  • the host device 310 obtains an average value and performs multivariate analysis processing based on data from each plasma processing device, the calculation processing load of each plasma processing device is greatly reduced. Can be reduced.
  • each plasma processing apparatus there is no need to temporarily store a large amount of setting data and detection data obtained during plasma processing, and there is no need for a multivariate analysis program. It can be unnecessary. As a result, the configuration of each plasma processing apparatus can be simplified and the manufacturing cost can be reduced.
  • the present invention is not necessarily limited to this, and the new model equation is used for the host apparatus 310.
  • the host device 310 may determine the device state of each of the plasma processing devices 10OA,..., 10ON.
  • the abnormality determination information may be transmitted to each of the plasma processing apparatuses 100 ⁇ ,-, and 100N.
  • Each plasma processing device 100 A,..., 100 ON is turned off based on the abnormality determination information, for example, by stopping the processing device by means of the processing device control means 225, display means 224, and alarm device 226. The abnormality may be notified by using.
  • the host device 310 can centrally monitor the device state of each plasma processing device.
  • the preferred embodiments according to the present invention have been described with reference to the accompanying drawings, but it is needless to say that the present invention is not limited to the examples. It is clear that a person skilled in the art can envisage various changes or modifications within the scope of the claims, which also fall within the technical scope of the present invention. It is understood.
  • the setting data in the first and second embodiments the control parameters when performing plasma processing on the wafer, such as when determining the device state using a new model formula in the second embodiment, are used.
  • the setting data measured by the master measuring instrument 221 may be used, or the setting data itself input from the input means 152 may be used.
  • the setting data measured by the control parameter measuring device 221 may be used. If the control parameters include those that cannot be measured by the control parameter measuring device 222, it is effective to use the input setting data itself.
  • the device state parameter is not used, but the device state parameter can be used as an objective variable or an explanatory variable.
  • the high-frequency power, the process gas flow rate, the gap between the electrodes, and the pressure in the chamber are used as control parameters for the objective variables when constructing the model formula. It is not limited to.
  • variable capacitor capacity, high-frequency voltage, and APC opening are used as equipment state parameters, these parameters are not limited as long as they are measurable parameters that show equipment state parameters.
  • plasma-based electrical data and optical data are used as plasma-reflecting parameters that reflect the plasma state, the parameters are not limited to these if they reflect the plasma state.
  • high-frequency voltages and high-frequency currents of fundamental waves and harmonics are used as electrical data, but are not limited to these.
  • output data from a means for example, skewmetry for measuring the wafer finish incorporated in the plasma processing apparatus may be used as detection data.
  • characteristic values such as the film thickness of the film formed on the wafer, the amount of shaving when the film to be processed on the wafer is etched, and its in-plane uniformity may be used as the detection data.
  • the average value of the data of the plasma reflection parameters is obtained for each wafer, and the control parameters and the equipment state parameters are predicted for each wafer using this average value.
  • the control parameters and the equipment state parameters can be predicted in real time using the reflection parameters.
  • a magnetic field parallel plate type plasma processing apparatus is used, but the present invention can be applied to any apparatus having a control parameter, a plasma reflection parameter, a Z, and an apparatus state parameter.
  • the model formula can be transferred to another processing device of the same type.
  • a multivariate analysis model of a processing unit that can be diverted and does not require the creation of a new model formula for each processing unit and reduces the load of model formula generation.
  • a method can be provided. INDUSTRIAL APPLICABILITY
  • the present invention is applied to, for example, a multivariate analysis model formula creation method for a processing apparatus such as a plasma processing apparatus, a multivariate analysis method for a processing apparatus, a control apparatus for the processing apparatus, and a control system for the processing apparatus. it can.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

Cette invention a trait à la détection de données par plusieurs capteurs, lorsqu'un appareil de traitement de plasma (100a) de référence et un autre appareil du même type (100b), exploités au moyen d'un premier ensemble de données de réglage, sont soumis à une analyse multi-variable afin de créer des modèles d'analyse multi-variable. Une fois exploitées par un second ensemble de données de réglage, on utilise ces données de détection détectées par plusieurs capteurs de l'appareil de traitement de plasma (100a) pour créer le modèle. L'emploi des appareils (100a) et (100b) permet de créer un modèle d'analyse multi-variable de l'appareil (100b) correspondant au second ensemble de données de réglage. Cette technique permet, même s'il existe une différence de processus entre les appareils, d'appliquer directement le modèle, créé pour un appareil, à un autre appareil du même type. Il n'est, de ce fait, pas nécessaire d'acquérir des données pour créer un modèle pour chaque appareil. Ce qui permet de réduire les tâches et d'économiser des heures de travail pour la création d'un modèle.
PCT/JP2003/007132 2002-06-05 2003-06-05 Technique de creation de modele d'analyse multi-variable pour appareil de traitement, methode correspondante, dispositif et systeme de commande d'appareil de traitement WO2003105210A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020047019644A KR100628392B1 (ko) 2002-06-05 2003-06-05 처리 장치의 다변량 해석 모델식 작성 방법, 처리장치용의 다변량 해석 방법, 처리 장치의 제어 장치, 처리장치의 제어 시스템
JP2004512183A JP4224454B2 (ja) 2002-06-05 2003-06-05 処理装置の多変量解析モデル式作成方法,処理装置用の多変量解析方法,処理装置の制御装置,処理装置の制御システム
US11/003,829 US7505879B2 (en) 2002-06-05 2004-12-06 Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002163869 2002-06-05
JP2002-163869 2002-06-05
JP2002-168653 2002-06-10
JP2002168653 2002-06-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/003,829 Continuation US7505879B2 (en) 2002-06-05 2004-12-06 Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus

Publications (1)

Publication Number Publication Date
WO2003105210A1 true WO2003105210A1 (fr) 2003-12-18

Family

ID=29738319

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/007132 WO2003105210A1 (fr) 2002-06-05 2003-06-05 Technique de creation de modele d'analyse multi-variable pour appareil de traitement, methode correspondante, dispositif et systeme de commande d'appareil de traitement

Country Status (5)

Country Link
JP (1) JP4224454B2 (fr)
KR (1) KR100628392B1 (fr)
CN (1) CN100426471C (fr)
TW (1) TWI276162B (fr)
WO (1) WO2003105210A1 (fr)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006001416A1 (fr) * 2004-06-29 2006-01-05 Nikon Corporation Méthode de gestion, système de gestion, et programme
JP2007059585A (ja) * 2005-08-24 2007-03-08 Tokyo Electron Ltd プラズマ処理装置の運転状態判定方法、運転状態判定装置、プログラム及び記憶媒体
JP2017163025A (ja) * 2016-03-10 2017-09-14 東京エレクトロン株式会社 処理プロセスを調整する方法
JP2018537853A (ja) * 2015-11-09 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウエハのポイントバイポイント分析及びデータの提示
JP2019061995A (ja) * 2017-09-25 2019-04-18 株式会社Screenホールディングス 異常検知装置、及び異常検知方法
WO2023162856A1 (fr) * 2022-02-22 2023-08-31 株式会社Screenホールディングス Système de gestion d'appareil de traitement de substrat, appareil d'assistance, appareil de traitement de substrat, procédé de comparaison de performances inter-chambre et programme de comparaison de performances inter-chambre

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4164835B2 (ja) * 2005-12-20 2008-10-15 新東工業株式会社 ブラスト装置による投射状態情報の推定法および投射状態情報の推定装置、ならびに投射状態情報の推定プログラム
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
US20100332010A1 (en) * 2009-06-30 2010-12-30 Brian Choi Seasoning plasma processing systems
US10176279B2 (en) * 2015-06-05 2019-01-08 Uptake Technologies, Inc. Dynamic execution of predictive models and workflows
JP6990634B2 (ja) * 2018-08-21 2022-02-03 株式会社日立ハイテク 状態予測装置及び半導体製造装置
US20220157580A1 (en) * 2019-07-30 2022-05-19 Hitachi High-Tech Corporation Diagnosis apparatus, plasma processing apparatus and diagnosis method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1194735A (ja) * 1997-09-22 1999-04-09 Horiba Ltd 分光光度測定と多変量解析法とを用いた試料特性の定量分析装置およびその定量分析装置を用いた分析方法。
WO2001018845A1 (fr) * 1999-09-08 2001-03-15 Advanced Micro Devices, Inc. Procede pour determiner un point final de gravure au moyen des composantes principales d'un spectre d'emission optique
WO2002003441A1 (fr) * 2000-07-04 2002-01-10 Tokyo Electron Limited Procede de surveillance de fonctionnement pour appareil de traitement

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
US6853920B2 (en) * 2000-03-10 2005-02-08 Smiths Detection-Pasadena, Inc. Control for an industrial process using one or more multidimensional variables

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1194735A (ja) * 1997-09-22 1999-04-09 Horiba Ltd 分光光度測定と多変量解析法とを用いた試料特性の定量分析装置およびその定量分析装置を用いた分析方法。
WO2001018845A1 (fr) * 1999-09-08 2001-03-15 Advanced Micro Devices, Inc. Procede pour determiner un point final de gravure au moyen des composantes principales d'un spectre d'emission optique
WO2002003441A1 (fr) * 2000-07-04 2002-01-10 Tokyo Electron Limited Procede de surveillance de fonctionnement pour appareil de traitement

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006001416A1 (fr) * 2004-06-29 2006-01-05 Nikon Corporation Méthode de gestion, système de gestion, et programme
JPWO2006001416A1 (ja) * 2004-06-29 2008-04-17 株式会社ニコン 管理方法及び管理システム、並びにプログラム
JP4678372B2 (ja) * 2004-06-29 2011-04-27 株式会社ニコン 管理方法及び管理システム、並びにプログラム
US7941232B2 (en) 2004-06-29 2011-05-10 Nikon Corporation Control method, control system, and program
JP2007059585A (ja) * 2005-08-24 2007-03-08 Tokyo Electron Ltd プラズマ処理装置の運転状態判定方法、運転状態判定装置、プログラム及び記憶媒体
JP4643392B2 (ja) * 2005-08-24 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置の運転状態判定方法、運転状態判定装置、プログラム及び記憶媒体
JP2018537853A (ja) * 2015-11-09 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウエハのポイントバイポイント分析及びデータの提示
JP2017163025A (ja) * 2016-03-10 2017-09-14 東京エレクトロン株式会社 処理プロセスを調整する方法
JP2019061995A (ja) * 2017-09-25 2019-04-18 株式会社Screenホールディングス 異常検知装置、及び異常検知方法
JP7034646B2 (ja) 2017-09-25 2022-03-14 株式会社Screenホールディングス 異常検知装置、及び異常検知方法
WO2023162856A1 (fr) * 2022-02-22 2023-08-31 株式会社Screenホールディングス Système de gestion d'appareil de traitement de substrat, appareil d'assistance, appareil de traitement de substrat, procédé de comparaison de performances inter-chambre et programme de comparaison de performances inter-chambre

Also Published As

Publication number Publication date
KR20050010021A (ko) 2005-01-26
TWI276162B (en) 2007-03-11
CN100426471C (zh) 2008-10-15
KR100628392B1 (ko) 2006-09-26
TW200404333A (en) 2004-03-16
JP4224454B2 (ja) 2009-02-12
JPWO2003105210A1 (ja) 2005-10-13
CN1659690A (zh) 2005-08-24

Similar Documents

Publication Publication Date Title
US7505879B2 (en) Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US7289866B2 (en) Plasma processing method and apparatus
JP4464276B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2003197609A (ja) プラズマ処理装置の監視方法及びプラズマ処理装置
JP4317701B2 (ja) 処理結果の予測方法及び予測装置
US7490010B2 (en) Data collection method, substrate processing apparatus, and substrate processing system
WO2003105210A1 (fr) Technique de creation de modele d'analyse multi-variable pour appareil de traitement, methode correspondante, dispositif et systeme de commande d'appareil de traitement
JP2012503339A (ja) 自己診断半導体装置
JP2004335841A (ja) プラズマ処理装置の予測装置及び予測方法
JP2004349419A (ja) プラズマ処理装置の異常原因判定方法及び異常原因判定装置
JP2004039952A (ja) プラズマ処理装置の監視方法およびプラズマ処理装置
JP5105399B2 (ja) データ収集方法,基板処理装置,基板処理システム
JP4220378B2 (ja) 処理結果の予測方法および処理装置
JP4675266B2 (ja) 基板処理装置の処理結果の予測方法及び予測装置
TW200421411A (en) Plasma processing method, seasoning end detection method, and plasma processing device
TW202200834A (zh) 用於監測半導體製程的系統及方法
JP2009010370A (ja) 半導体処理装置
KR100446926B1 (ko) 반도체제조장치의 감시 및 제어방법과 그 실시장치
KR20070069359A (ko) 플라즈마 장치 및 이를 이용한 플라즈마 제어방법
WO2024024631A1 (fr) Dispositif d'évaluation, procédé d'évaluation, et programme informatique
JP4520820B2 (ja) 試料処理装置及び試料処理システム
TW202405866A (zh) 處理資料的分析方法、及資訊處理裝置
TW202312217A (zh) 薄膜沉積系統及其方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR US

WWE Wipo information: entry into national phase

Ref document number: 2004512183

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020047019644

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 11003829

Country of ref document: US

Ref document number: 20038130823

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020047019644

Country of ref document: KR