WO2003056610A1 - Procede de traitement de substrat et dispositif de traitement de substrat - Google Patents

Procede de traitement de substrat et dispositif de traitement de substrat Download PDF

Info

Publication number
WO2003056610A1
WO2003056610A1 PCT/JP2002/013320 JP0213320W WO03056610A1 WO 2003056610 A1 WO2003056610 A1 WO 2003056610A1 JP 0213320 W JP0213320 W JP 0213320W WO 03056610 A1 WO03056610 A1 WO 03056610A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate processing
substrate
resist film
processing apparatus
resist
Prior art date
Application number
PCT/JP2002/013320
Other languages
English (en)
Japanese (ja)
Inventor
Shuji Iwanaga
Kyoshige Katayama
Masahide Tadokoro
Michio Tanaka
Ryouichi Uemura
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to AU2002357620A priority Critical patent/AU2002357620A1/en
Publication of WO2003056610A1 publication Critical patent/WO2003056610A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • the present invention relates to a substrate processing method and a substrate processing apparatus for forming a desired resist pattern on a semiconductor substrate in the manufacture of a semiconductor device, particularly in a photolithography process.
  • a resist film is formed on the surface of a semiconductor wafer (hereinafter, referred to as a “wafer”), and then exposed to a predetermined pattern, and then subjected to a development process.
  • a wafer a semiconductor wafer
  • such a photolithographic process is performed by a resist coating unit for applying a resist solution by centrifugal force by rotating a wafer, a developing unit for supplying a developing solution to a wafer, and performing a developing process.
  • the coating is performed by a coating image processing apparatus having an image forming apparatus, and an exposure apparatus provided integrally with the apparatus.
  • a coating and developing apparatus includes a heating unit and a cooling unit for performing a thermal process such as a heating process or a cooling process on a wafer after, for example, forming a resist film or before and after the developing process. And a transport robot for transporting wafers between the processing units.
  • Control of the line width of the resist pattern is an exposure condition in an exposure apparatus, for example, the intensity of exposure light.
  • the feedback control is performed on the basis of the focus value, the focus value, etc.
  • the resist film thickness is controlled in consideration of the large influence of the wafer rotation speed in the resist coating unit. Feedback control is performed based on this rotation speed.
  • the line width of the resist pattern and the resist film thickness are determined by the environment around the wafer in the coating and developing processing apparatus, for example, the transport time until the wafer is carried into each processing unit, the temperature or humidity in the apparatus, or the Since it is also affected by the flow of airflow, it is not possible to perform more precise control of line width, etc. with conventional management that does not consider these environments.
  • an object of the present invention is to completely control the environment around these substrates. It is an object of the present invention to provide a substrate processing method and a substrate processing apparatus capable of performing more precise line width control and resist film thickness control by performing a physical analysis.
  • a substrate processing method is directed to a substrate processing method for forming a desired resist pattern by forming a resist film on a substrate and performing an exposure process and a development process. Extracting a plurality of parameters involved in forming the resist pattern, and collecting a normal data value contributing to the formation of the desired resist pattern among the parameters. Obtaining at least two principal components based on the normal data value and creating a normal region that serves as an index when forming a desired resist pattern.
  • the present invention first extracts parameters such as temperature, air pressure, humidity in the apparatus, and transport time in the apparatus as environmental conditions around the substrate that affect the formation of the resist pattern.
  • parameters such as temperature, air pressure, humidity in the apparatus, and transport time in the apparatus as environmental conditions around the substrate that affect the formation of the resist pattern.
  • the extracted parameters only the normal data values for forming the desired resist pattern are collected.
  • a normal region is created by obtaining at least two principal components (which can be represented by two orthogonal straight lines) of these normal data values using principal component analysis technology.
  • the created normal area as an index when actually forming a resist pattern on a substrate as a product, it is possible to easily determine whether the resist pattern is normal or not.
  • the plurality of parameters relate to the film thickness of the resist or the line width of the resist pattern, and are parameters of these fluctuation factors.
  • a resist pattern can be formed under more precise management, and a desired resist pattern having a precise shape can be formed. To do Can be.
  • At least the step of forming and developing the resist film is performed in a single apparatus, and after the exposure processing and before the development processing, performing a first thermal processing on the substrate; Performing a second thermal process on the substrate after the resist film is formed and before the exposure process, wherein the line width variation factor parameter is at least after the end of the exposure process.
  • the time includes the time until the first thermal processing is started, the standby time of the substrate after the second thermal processing, the temperature in the apparatus, and the air pressure in the apparatus. Since it is considered that these parameters affect particularly the line width variation of the resist pattern line width and the resist film thickness, the line width can be easily and accurately controlled. .
  • the plurality of parameters may further include the line width variation factor parameter, and the development time or the first thermal processing temperature. Since both the development time and the temperature of the first thermal processing are parameters that greatly affect the control of the line width—evening, by adopting these data, the line width can be easily and precisely adjusted. Can manage.
  • the resist film is formed by rotating a substrate in a container, and the parameter of the film thickness variation is at least a resist film.
  • the pressure includes the pressure at the time of formation, the temperature of the container, and the humidity. Since these parameters are considered to affect particularly the fluctuation of the resist film thickness of the resist pattern line width and the resist film thickness, the resist film thickness can be easily and accurately controlled. ⁇
  • the parameter of the variation factor of the film thickness further includes a rotation speed of the substrate or a temperature of a second thermal process. Since the number of rotations of the substrate and the temperature of the second thermal processing are both parameters that greatly affect the control of the film thickness, it is easy and highly accurate to incorporate these data. The film thickness can be controlled at the same time.
  • the method further comprises the step of, when the number of data not in the normal area is larger than a predetermined number, assuming that the formation state of the resist pattern is abnormal.
  • a warning can be issued using, for example, a warning buzzer or a warning light, or a warning display on the operation display.
  • the processing can be stopped to reduce the number of defective substrates.
  • the method further includes a step of obtaining a relative positional relationship with respect to the normal area, which is not within the normal area, and a step of pursuing a cause of the abnormality based on the relative positional relation. I do. As described above, by determining the relative positional relationship between the normal area and the normal area within the normal area, it is possible to easily understand the parameters and the degree of dependence, etc., which may cause the substrate failure. Swift action can be taken.
  • the line width variation factor parameter includes an exposure amount at the time of the exposure processing. Since the amount of exposure is a parameter that greatly affects the control of the line width, the line width can be easily and accurately controlled by adopting this parameter.
  • the substrate processing method of the present invention comprises: (a) performing a multivariate analysis based on a plurality of parameters involved in forming a desired resist pattern on a substrate; and (b) analyzing by the multivariate analysis. Determining whether or not the resist pattern actually formed on the substrate is normal based on the data.
  • multivariate analysis is performed based on parameters such as the temperature, pressure, humidity, and transport time in the apparatus as environmental conditions around the substrate that affect the formation of the resist pattern. There may be some correlation between the processing conditions that were conventionally controlled independently for each unit.
  • a resist pattern is formed under high-precision control by comprehensively compressing and managing various parameters affecting the formation of a desired resist pattern.
  • a desired resist pattern having a precise shape can be formed.
  • the plurality of parameters is a parameter of a variation factor of a film thickness of a resist film formed on a substrate or a line width of a resist pattern.
  • the step (a) includes a step (c) of performing a principal component analysis.
  • the method further includes a step of collecting a normal data value that contributes to formation of a desired resist pattern among the plurality of parameters, and the step (c) includes at least two main data values based on the normal data value.
  • the step (b) includes the step of forming a resist pattern formed on a substrate based on the normal region.
  • the resist pattern is a resist pattern finally formed after the development processing, and forming a resist film to a desired value is also included in forming a desired resist pattern. Shall be.
  • One embodiment of the present invention includes (d) a step of performing discriminant analysis.
  • the method further includes a step of collecting a normal data value that contributes to formation of a desired resist pattern among the plurality of parameters, and the step (d) includes the step of:
  • the step (b) includes a step of judging whether or not the resist pattern formed on the substrate is normal based on the reference space of the Mahalanobis.
  • the calculated Mahalanobis reference space is By using this as an index when forming a resist pattern on a substrate as a product, it is possible to easily determine whether or not the resist pattern is normal.
  • the substrate processing method of the present invention includes: (a) a step of performing a multivariate analysis based on a plurality of parameters involved in forming a desired resist film on a substrate; and (b) analysis data by the multivariate analysis. And judging whether or not the thickness of the resist actually formed on the substrate is normal on the basis of the above.
  • a resist film can be formed under high-precision management, and a desired resist film having a precise shape can be formed.
  • a substrate processing apparatus forms a resist film on a substrate, passes the substrate to an exposure apparatus, and performs development processing on the substrate received from the exposure apparatus, thereby forming a desired resist pattern on the substrate.
  • a plurality of parameters involved in forming the resist pattern are extracted, and a normal data value contributing to the formation of the desired resist pattern is collected from the parameters.
  • a substrate processing apparatus includes a multivariate analysis means for performing a multivariate analysis based on a plurality of parameters involved in forming a desired resist pattern on a substrate; and And determining means for determining whether or not the resist pattern actually formed on the substrate is normal.
  • the substrate processing apparatus of the present invention relates to forming a desired resist film on a substrate.
  • Multivariate analysis means for performing multivariate analysis based on multiple parameters to be given, and whether the thickness of the resist actually formed on the substrate is normal based on the analysis data obtained by the multivariate analysis.
  • Determination means for determining whether or not the determination is made.
  • a substrate processing apparatus of the present invention includes a substrate processing apparatus that forms a desired resist pattern by forming a resist film on at least a substrate and performing a developing process.
  • a plurality of environmental conditions around the substrate that affect the formation of a resist pattern are extracted, and a function model is created with these parameters as parameters. Based on the function model, a resist film is formed. Control at least one of the conditions and development processing conditions.
  • feedforward control can be performed by predicting the line width of a resist pattern, which cannot be precisely controlled only by exposure conditions in an exposure apparatus, using the function model.
  • the above function model predicts the resist film thickness that cannot be precisely controlled only by monitoring the number of rotations of the substrate. This enables feedforward control. This makes it possible to more precisely control the line width of the pattern and the resist film thickness in response to the demand for finer patterns.
  • the line width and the film thickness can be predicted using a function model from the monitored information, so that the response of the control is speeded up and product defects are reduced as much as possible.
  • the resist pattern is a concept including not only the line width but also the pattern line pitch, the side wall (the angle of the side surface to the substrate surface, etc.), and the aspect ratio.
  • the control unit controls a development time among the development processing conditions. As described above, by controlling the development time which is considered to most affect the fluctuation of the line width among the development processing conditions, the line width can be easily and precisely controlled.
  • the resist film is formed by rotating a substrate to form a resist film
  • the control unit includes: a rotation speed of the substrate in the resist film formation conditions; Control.
  • the line width can be quickly and precisely controlled by controlling the number of rotations of the substrate, which is considered to most affect the fluctuation of the resist film thickness among the resist film forming conditions.
  • the function model is created for each type of the resist.
  • the function model can be created according to the difference in the concentration and viscosity of the resist, the conditions for forming the resist film and the conditions for the development processing can be controlled according to the type of the resist.
  • the substrate processing apparatus of the present invention forms a resist film on a substrate, passes the substrate to an exposure apparatus, performs a first thermal process on the substrate received from the exposure apparatus, and then performs a development process.
  • a plurality of environmental conditions around the substrate that affect the formation of a resist pattern are extracted, and a function model is created with the extracted parameters as parameters.
  • Control at least one of the thermal processing conditions As a result, for example, precise control cannot be performed only by the exposure conditions in the exposure apparatus.
  • the above function model predicts the resist film thickness that cannot be precisely controlled only by monitoring the rotational speed of the substrate. By doing so, feedforward control becomes possible. This makes it possible to more precisely control the pattern line width and the resist film thickness in response to the demand for finer patterns.
  • the apparatus further comprises means for performing a second thermal process after the formation of the resist film, wherein the function model relates to a line width of the resist pattern, and at least the exposure process
  • the function model relates to a line width of the resist pattern, and at least the exposure process
  • time from the end of the exposure processing to the start of the first thermal processing and “standby time of the substrate after the second thermal processing” are:
  • the parameter of “time” is, for example, when there are a plurality of processing units in the substrate processing apparatus and the processing is single-wafer processing, the parameter of “time” is different for each substrate. Since it is overnight, it is preferable to control the line width for each substrate.
  • the control unit controls a development time among the development processing conditions. It has been found that the relationship between the development time and the line width is almost inversely proportional, and by controlling this, the line width can be easily and precisely controlled. In one embodiment of the present invention, the control unit further controls any one of the concentration of the developing solution and the temperature of the developing solution used in the developing process among the developing process conditions. As described above, it is possible to control the temperature, time, and temperature rising / falling rate of the first thermal treatment in addition to the development time, and to perform more accurate line width management.
  • the control means controls at least one of the temperature, the time, and the temperature rise / fall rate of the first thermal processing among the first thermal processing conditions.
  • the first thermal treatment is a heat treatment
  • the relationship between the heat treatment temperature and the line width is almost inversely proportional, and by controlling this, it is easy to control the line width.
  • the line width can be precisely controlled.
  • the resist film is formed by rotating a substrate in a container, and the function model is related to a resist film thickness.
  • the pressure at the time of film formation, the temperature of the container, and the humidity are defined as the parameters. Since these parameters have a significant effect on the variation of the resist film thickness, particularly of the line width and the resist film thickness of the resist pattern, a function model is created with these parameters as parameters. As a result, it is possible to precisely control the resist film thickness by controlling the processing conditions and the like as described above.
  • control means controls the number of rotations of the substrate among the conditions for forming the resist film. It has been found that there is a correlation between the substrate rotation speed and the resist film thickness, and by controlling this, the resist film thickness can be easily and precisely controlled.
  • control unit further controls one of the temperature of the resist and the discharge speed of the resist. As described above, in addition to the substrate rotation speed, either the resist temperature or the resist discharge speed is controlled, and a higher Accurate line width management can be performed.
  • the apparatus further comprises means for performing a second thermal treatment after the formation of the resist film, wherein the control means comprises at least the second thermal treatment among the second thermal treatment conditions. Control the temperature, time, and temperature rise / fall rate of thermal treatment. Since these conditions also affect the variation of the resist film thickness, more precise line width control can be performed.
  • a resist film forming part for forming the resist film, a heat treatment part for performing the first and second thermal treatments, a development treatment part for performing the development treatment, and at least the resist film A transfer mechanism for transferring the substrate between the forming unit, the heat treatment unit, and the development processing unit; and the function model further sets a transfer time of the substrate by the transfer mechanism as a parameter.
  • the transfer time of the substrate between the resist film forming section, the heat treatment section, and the development processing section by the transfer mechanism is considered to be one of the factors affecting the fluctuation of the line width and the resist film thickness.
  • the substrate processing apparatus of the present invention performs a thermal process after forming a resist film on a substrate, so that a plurality of substrates involved in forming the resist film are formed in a substrate processing apparatus that forms a desired resist film.
  • a plurality of environmental conditions around the substrate that affect the formation of a desired resist film are extracted, and a function model is created with the extracted parameters as parameters. Control at least one of the formation conditions and thermal processing conditions.
  • feedforward control can be performed by predicting the resist film thickness that cannot be precisely controlled only by monitoring the rotation speed of the substrate using the above-described function model. It will be possible. This makes it possible to more precisely control the pattern line width and the resist film thickness in response to the demand for finer patterns.
  • the function model is to form the resist film by rotating the substrate in the container in the formation of the resist film, and at least the pressure at the time of forming the resist film, the temperature of the container, and the humidity. Is the parameter overnight.
  • the apparatus further comprises a film thickness inspection means for inspecting a resist film thickness formed under the resist film formation conditions controlled by the control means, wherein the control means comprises: A resist film forming condition correcting means for correcting the resist film forming condition based on the inspected resist film thickness; For example, with feedforward control alone, the film thickness fluctuates due to the effects of parameters that are not actually monitored, among the above parameters involved in the formation of the resist film, resulting in inaccurate predicted values. There are cases.
  • a film thickness inspection is performed and the resist film formation conditions are adaptively corrected, that is, by adding feedback control, a highly accurate film thickness control can be performed. A resist film can be formed.
  • the resist film forming condition correcting means may correct the number of rotations of the substrate.
  • the apparatus further comprises pattern inspection means for inspecting a resist pattern formed under the development processing conditions controlled by the control means, wherein the control means is inspected by the pattern inspection means.
  • a developing condition correcting means for correcting the developing condition based on the resist pattern.
  • the line width may fluctuate due to the influence of parameters that are not actually monitored among the plurality of parameters related to the above, and the predicted value may be inaccurate.
  • the line width can be controlled with high accuracy, and a desired resist pattern can be formed.
  • the developing condition correction means may correct the developing time.
  • the substrate processing apparatus of the present invention forms a desired resist pattern by forming a resist film on at least a substrate, transferring the substrate on which the resist film is formed to an exposure device, receiving the exposed substrate, and performing a development process.
  • a plurality of environmental conditions around the substrate that affect the formation of a resist pattern are extracted, and a function model is created with these parameters as parameters. Based on the function model, a resist film is formed. Control at least one of the conditions, development processing conditions, and exposure processing conditions. As described above, according to the present invention, since the exposure processing conditions are also controlled in addition to the control of the formation conditions and the development processing conditions of the resist film, the line width of the pattern and the resist film thickness can be controlled more precisely.
  • control unit controls a development time among the development processing conditions.
  • the resist film is formed by rotating a substrate to form a resist film
  • the control unit includes: a rotation speed of the substrate in the resist film formation conditions; Control.
  • the control unit controls the exposure amount among the exposure processing conditions. Since it is known that the relationship between the exposure amount and the line width is almost proportional, the line width can be easily and precisely controlled by controlling this.
  • the apparatus further comprises a film thickness inspection means for inspecting a resist film thickness formed under the resist film formation conditions controlled by the control means, wherein the control means comprises: A resist film forming condition correcting means for correcting the resist film forming condition based on the inspected resist film thickness;
  • the resist film is formed by rotating a substrate to form a resist film, and the resist film forming condition correcting means corrects the number of rotations of the substrate. .
  • the apparatus further comprises pattern inspection means for inspecting a resist pattern formed under the development processing conditions controlled by the control means, wherein the control means is inspected by the pattern inspection means. And a developing condition correcting means for correcting the developing condition based on the resist pattern. For example, the developing condition correction means corrects the developing time.
  • the apparatus further comprises pattern inspection means for inspecting a resist pattern formed under the exposure processing conditions controlled by the control means, wherein the control means is inspected by the pattern inspection means.
  • An exposure processing condition correcting means for correcting the exposure processing condition based on the resist pattern is provided.
  • the line width fluctuates due to the influence of the parameter that is not actually monitored among the parameters related to the formation of the resist pattern, and the predicted value is incorrect. It may be.
  • the exposure processing condition correcting means corrects the exposure amount.
  • the substrate processing method of the present invention is a substrate processing method for forming a desired resist pattern by forming a resist film on a substrate and performing a development process, wherein a plurality of parameters involved in forming the resist pattern are formed. And a step of controlling at least one of a resist film formation condition and a development processing condition based on the function model.
  • the substrate processing method of the present invention comprises forming a resist film on at least a substrate, transferring the substrate on which the resist film is formed to an exposure apparatus, receiving the exposed substrate, and performing a development process, thereby forming a desired resist pattern.
  • a step of creating a function model created based on a plurality of parameters related to forming the resist pattern (b) a resist model based on the function model. Controlling at least one of film formation conditions, development processing conditions, and exposure processing conditions.
  • FIG. 1 is a plan view of a coating and developing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a front view of the coating and developing apparatus shown in FIG.
  • FIG. 3 is a rear view of the coating and developing apparatus shown in FIG.
  • FIG. 4 is a perspective view showing a main wafer transfer device according to one embodiment.
  • FIG. 5 is a front view for explaining the flow of clean air in the coating and developing apparatus shown in FIG.
  • FIG. 6 is a plan view showing a resist coating unit according to one embodiment.o
  • FIG. 7 is a sectional view showing the resist coating unit shown in FIG.
  • FIG. 8 is a cross-sectional view illustrating a developing unit according to one embodiment.
  • FIG. 9 is a plan view showing a pre-vetting unit or a boss vest unit according to one embodiment.
  • FIG. 10 is a sectional view of the unit shown in FIG.
  • FIG. 11 is a configuration diagram showing a control system for controlling the coating and developing treatment apparatus according to the present invention.
  • FIG. 12 is a flowchart showing a series of processing steps of the coating and developing processing apparatus according to the present invention.
  • Fig. 13 is a graph showing the lapse of time of the heating temperature in the heating system processing unit.
  • FIG. 14 is a graph showing the time course of the atmospheric pressure in the coating and developing apparatus.
  • FIG. 15 is a graph showing the lapse of time of the resist temperature.
  • FIG. 16 is a diagram showing a line width model stored in the line width model storage unit.
  • FIG. 17 is a diagram showing a film thickness model stored in the film thickness model storage unit.
  • FIG. 18 is a diagram showing a database based on principal component analysis.
  • FIG. 19 is a diagram showing the fluctuation of the data value in the data night of FIG.
  • FIG. 20 is a graph showing the correlation between the development time and the line width.
  • FIG. 21 is a graph showing the correlation between the wafer rotation speed and the film thickness.
  • FIG. 22 is a graph showing the correlation between the heating temperature and the line width in the Bost Exposure Baking Unit.
  • FIG. 23 is a graph showing the correlation between the heating temperature and the film thickness in the pre-baking unit.
  • FIG. 24 is a table showing each sensor data value for each wafer where the resist film thickness is a normal value, each sensor data value for each wafer, and whether or not the resist film thickness is a normal value.
  • FIG. 25 is a table showing the average values and the standard deviations of the variables X 1 to X 5 for the wafers W 1 to W 2 18 shown in FIG.
  • FIG. 26 is a block diagram of a control system used in another embodiment of the present invention.
  • Figure 27 shows the calculation formula for calculating the Mahabales distance.
  • Figure 28 is a graph showing the distance of the Mahalavis of a wafer for which it is unknown whether it is normal or not.
  • FIG. 29 is a configuration diagram showing a control system according to another embodiment.
  • FIG. 30 is a flowchart showing a series of processing steps according to another embodiment.
  • FIG. 31 is a diagram showing the correlation between the development time and the line width.
  • FIG. 32 is a block diagram showing a control system according to still another embodiment.
  • FIG. 33 is a block diagram showing a controller of the control system shown in FIG.
  • FIG. 34 is a control flow diagram for controlling the resist film thickness.
  • FIG. 35 is a control flow diagram for controlling the line width of the resist pattern.
  • FIGS. 36 (a) and (b) show the relationship between the exposure amount and the line width
  • FIG. 36 (c) shows the expression of the exposure amount
  • FIG. 37 is a diagram for explaining the operation of correcting the operation amount when the film thickness is to be controlled.
  • FIG. 1 to 3 are views showing the overall configuration of a coating and developing treatment apparatus according to an embodiment of the present invention.
  • FIG. 1 is a plan view
  • FIGS. 2 and 3 are front and rear views.
  • the coating / developing apparatus 1 includes a semiconductor wafer W as a substrate to be processed, which is loaded into the apparatus 1 from the outside in units of a plurality of wafer cassettes CR, for example, in units of 25 sheets, or unloaded from the apparatus 1, or the wafer cassette CR. And a cassette station 10 for loading and unloading wafers W in the coating and developing process.
  • a processing station 12 in which various single-wafer processing units for performing predetermined processing on wafers W one by one are arranged in multiple stages at predetermined positions;
  • It has a configuration in which an interface 14 for transferring a wafer W between the exposure apparatus 100 and the exposure apparatus 100 provided adjacent thereto is integrally connected.
  • a plurality of, for example, five wafer cassettes CR are arranged at the positions of the projections 20 a on the cassette mounting table 20, and the respective wafer entrances and exits are connected to the processing station 12 side.
  • the wafer carrier 22 that is placed in a row in the X direction and is movable in the cassette arrangement direction (X direction) and the wafer arrangement direction (Z direction) of the wafers stored in the wafer cassette CR is selected for each wafer cassette CR. It is designed to be accessed.
  • the wafer transfer body 22 is configured to be rotatable in the 6> direction, and as shown in FIG. 3, a heat treatment system belonging to a third processing unit G3 having a multi-stage configuration described later. You can also access the unit.
  • the processing station 12 is located on the rear side of the apparatus (upper side in the figure), from the cassette station 10 side to the third processing unit G 3, the fourth processing unit G 4, and the like.
  • Fifth processing unit sections G5 are arranged, and between the third processing unit section G3 and the fourth processing unit section G4, the first main wafer transfer section according to one embodiment is provided.
  • Apparatus A1 is provided.
  • the first main wafer transfer device A 1 includes the first main wafer transfer body 16 having a first processing unit G 1, a third processing unit G 3, and a third processing unit G 3.
  • the fourth processing unit is installed so that it can selectively access G4 etc. Have been.
  • a second main wafer transfer device A2 is provided between the fourth processing unit G4 and the fifth processing unit G5, and the second main wafer transfer device A2 is similar to the first.
  • the second main transport unit 17 is installed so as to be capable of selectively accessing the second processing unit G2, the fourth processing unit G4, the fifth processing unit G5, and the like. I have.
  • a heat treatment unit is installed on the back side of the first main wafer transfer device A 1, for example, an adhering unit (AD) 110 for hydrophobizing the wafer W and heating the wafer W As shown in Fig. 3, heating units (HP) 113 are stacked two by two in order from the bottom.
  • the adhesion unit (AD) may be configured to further include a mechanism for controlling the temperature of the wafer W.
  • WEE peripheral exposure device
  • WEE peripheral exposure device
  • An inspection apparatus 119 and a line width inspection apparatus 118 for inspecting the line width of the resist pattern are provided in multiple stages.
  • the film thickness inspection device 119 and the line width inspection device 118 may not be provided inside the coating and developing treatment device 1 but may be provided outside the device.
  • the heat treatment unit (HP) 113 may be arranged on the back side of the second main wafer transfer unit A2 similarly to the back side of the first main wafer transfer unit A1. As shown in FIG.
  • an oven-type processing unit that places a wafer W on a mounting table and performs a predetermined process, for example, a high-temperature heating process that performs a predetermined heating process on the wafer W Unit (BAKE), cooling unit (CPL) that performs cooling processing with high-precision temperature control on wafer W, serves as a transfer unit for wafer W from wafer carrier 22 to main wafer carrier 16
  • the transition unit (TRS) is divided into a transfer section and a cooling section in two stages, a transfer and cooling unit (TCP). Note that the third processing unit In G3, in the present embodiment, the third row from the bottom is provided as a spare space.
  • the fourth processing unit G4 also includes, for example, a post-baking unit (POST), a transition unit (TRS) serving as a wafer transfer unit, and a second thermal processing after forming the resist film.
  • POST post-baking unit
  • TRS transition unit
  • C A pre-baking unit (PAB) that performs heat treatment on W and a cooling unit (CPL) that is also a second thermal treatment are stacked in order from the top, for example, in 10 stages.
  • a post-exposure beaker unit (PEB) for performing a heating processing on the exposed wafer W, and also in the first thermal processing. Cooling processing unit as
  • CPL transition units
  • TRS transition units
  • the processing station 12 has a
  • the first processing unit G1 and the second processing unit G2 are provided side by side in the Y direction. Between the first processing unit G1 and the cassette station 10 and between the second processing unit G2 and the interface unit 14, each processing unit G1 and G1 Liquid temperature control pumps 24 and 25 used for controlling the temperature of the processing liquid supplied in G2 are provided respectively. Further, cleaning is performed from an air conditioner (not shown) provided outside the coating image processing apparatus 1. Ducts 31 and 32 are provided for supplying fresh air into each of the processing unit sections G1 to G5.
  • the first processing unit G1 five spinner-type processing units for performing predetermined processing by mounting the wafer W on a spin chuck in the force pump CP, for example, a resist
  • resist coating unit (C0T) as a film forming part
  • BARC bottom coating unit
  • a spinner-type processing unit for example, a development processing unit (DEV) as a development processing unit is stacked in five stages.
  • DEV development processing unit
  • the resist coating unit since the drainage of the resist solution is troublesome both mechanically and in terms of maintenance, it is preferable to dispose the resist solution at the lower stage. However, they can be placed in the upper row if necessary.
  • the processing station 12 is provided with, for example, four temperature sensors “A”, “Sb”, “Sc”, and “Sd” for measuring the temperature and the pressure in the processing station 12.
  • four temperature sensors “A”, “Sb”, “Sc”, and “Sd” for measuring the temperature and the pressure in the processing station 12.
  • a portable pick-up cassette CR and a stationary buffer cassette BR are arranged in two stages at the front part of the air inlet face part 14, and a wafer carrier 27 is provided at the center. Have been.
  • the carrier 27 moves in the X and Z directions to access both cassettes CR and BR.
  • the wafer carrier 27 is configured to be rotatable in the ⁇ direction, so that it can also access the fifth processing unit G5.
  • a plurality of high-precision cooling units (CPLs) are provided on the back surface of the interface-face portion 14, for example, two stages above and below.
  • the wafer carrier 27 is also accessible to this cooling processing unit (CPL).
  • FIG. 4 is a perspective view showing a first main wafer transfer device A1 according to one embodiment of the present invention.
  • the second main transport unit A2 is the same as the first main transport unit A1, and a description thereof will be omitted.
  • the main wafer transfer device A 1 is surrounded by a housing 41, Prevents particles from entering.
  • a housing 41 Prevents particles from entering.
  • illustration of the housing 41 is omitted for easy understanding.
  • poles 33 are suspended from both ends of the main wafer transfer device A1, and the main wafer transfer body 16 (17) is vertically moved along the pole 33 (Z Direction).
  • the transfer base 55 in the main wafer transfer body 16 is provided with three pin sets 7 a to 7 c for holding the wafer W, and these tweezers 7 a to 7 c are attached to the transfer base 55. It is configured to be movable in the horizontal direction by a built-in drive mechanism (not shown).
  • a support 45 holding the transfer base 55 is connected to a lower portion of the transfer base 55 via a rotating member 46 rotatable in the vertical direction.
  • the wafer carrier 16 is rotatable in the 6> direction.
  • FIG. 5 shows the flow of clean air in the coating and developing apparatus 1.
  • air supply chambers 10a, 12a, and 14a are provided above the cassette station 10, the processing station 12, and the interface section 14, respectively.
  • a filter with a dust-proof function such as a ULPA filter, 101, 102, and 103, is attached.
  • Cleaner air from the ULPA fills 101, 102, and 103 in each air supply chamber is supplied to the sections 10, 12, and 14 by downflow, and the air is supplied from these air supply chambers to the processing unit. It is supplied in a flow. This downflow air flows from the ducts 31 and 32 described above in the direction of the arrow (upward). Supplied).
  • a fan / fill unit F is attached above each unit, and a barometric pressure sensor S1 for measuring the pressure is provided.
  • the fan filter unit F has, for example, an ULPA filter and a small fan (not shown).
  • the same sensors are provided in the respective units in the third to fifth processing unit sections G3 to G5, and also in the first and second main wafer transfer devices A1 and A2. .
  • FIGS. 6 and 7 are a plan view and a sectional view showing a resist coating unit (COT) as a resist film forming unit according to one embodiment of the present invention.
  • the fan's fill unit F is mounted above the housing 41, and below the unit bottom plate 151, the width of which is smaller than the width of the housing 4 15 in the Y direction.
  • An annular cup CP is arranged near the center, and a spin chuck 142 is arranged inside it.
  • the spin chuck 1442 is configured to rotate with the rotational driving force of the driving motor 144 while the wafer W is fixedly held by vacuum suction.
  • the number of rotations of the drive motor 144 is controlled by the control of the rotation controller 34.
  • pins 148 for transferring the wafer W are provided so as to be able to move up and down by a driving device 147. As a result, the wafer can be transferred to and from the tweezers 7a through the opening 41'a while the openable shirt 43 is open.
  • a drain port 145 for waste liquid is provided at the bottom of the cup CP. The drain port 1 4 5 waste liquid pipe 1 4 1 is connected, waste this waste liquid tube 1 4 1 are not shown below by using the space N between the Yunitto bottom plate 1 5 1 and the housing 4 1 5 Open to the mouth.
  • Nozzle for supplying resist to the surface of wafer W as shown in M6 135 is connected to a liquid supply mechanism (not shown) in a chemical chamber (CHM) 26 (FIG. 2) via a supply pipe 134.
  • the nozzle 135 is detachably attached to the tip of the nozzle scan arm 136 at a nozzle standby portion 146 disposed outside the cup CP, and is provided at a predetermined position set above the spin chuck 146. It is transferred to a resist discharge position.
  • the nozzle scan arm 1 36 is attached to the upper end of a vertical support member 144 that can move horizontally on a guide rail 144 that is laid in one direction (Y direction) on the unit bottom plate 151.
  • a Y-direction drive mechanism (not shown) moves in the Y direction integrally with the vertical support member 149.
  • the nozzle scan arm 1336 can also be moved in the X direction, which is perpendicular to the Y direction, in order to selectively install the nozzle 1335 in the nozzle standby section 144 according to the type of resist. It also moves in the X direction by the directional drive mechanism.
  • the type of the resist differs depending on, for example, the concentration and viscosity of the resist.
  • a drain cap 138 is provided between the cap CP and the nozzle standby section 146, and at this position, the nozzle 135 is cleaned before the resist is supplied to the wafer W. I have.
  • the rinsing nozzle scan arm 139 and the rinsing nozzle 140 are set on the side of the cup CP by a Y-direction drive mechanism (not shown), and are mounted on the spin chuck 144.
  • the wafer W is moved to and from a rinse liquid discharge position set immediately above the peripheral portion of the wafer W.
  • Power This is a resist coating process Yunidzuto (CO T) within which measures the pressure sensor S 1 for measuring the pressure y JHP a] is provided as described above and the temperature y 2 cup [° C]
  • a temperature sensor S 2 for measuring the humidity in the unit and a humidity sensor ⁇ 3 [%] are provided (see Fig. 17).
  • FIG. 8 is a cross-sectional view showing a development processing unit (DEV) according to one embodiment of the present invention. Since this development processing unit (DEV) has a configuration similar to that of the resist coating unit (COT), FIG. 8 shows the same configuration as that of the above-described resist coating unit (COT). The same components are denoted by the same reference numerals, and description thereof is omitted.
  • DEV development processing unit
  • the nozzle 153 for supplying the developer to the surface of the wafer W has substantially the same length as the diameter of the wafer W, and has a plurality of holes (not shown) for discharging the developer.
  • a nozzle having a slit-shaped discharge port may be used.
  • a rinsing nozzle (not shown) is also provided so as to be movable on the wafer W.
  • FIGS. 9 and 10 are plan views of a pre-baking unit (PAB) and a post-exposure baking unit (PEB) for performing thermal processing on a wafer W according to an embodiment of the present invention. It is sectional drawing. Each of these base units differs only in processing temperature.
  • PAB pre-baking unit
  • PEB post-exposure baking unit
  • these units are surrounded by a housing 75, and a wafer W is placed on the rear side in the processing room 30 under the control of the temperature controller 1332.
  • a heating plate 86 for performing heat treatment at around 100 ° C. is provided, and on the front side, a temperature control plate ⁇ 1 for mounting the wafer W and controlling the temperature is provided. .
  • the heating plate 86 is supported by a support 88, and elevating pins 85 for supporting the wafer W from below the support 88 are provided so as to be able to move up and down by a lifting cylinder 82.
  • the upper part of the heating plate 86 A cover member (not shown) that covers the heating plate 86 is provided.
  • the heating plate 86 is provided with a temperature sensor S4 for measuring the temperature of the heating plate 86, and this measurement data is used for the parameters involved in forming a desired resist pattern. One of these is that it is accumulated on an overnight basis, as described later.
  • the temperature of the wafer W is adjusted to a predetermined temperature, for example, about 40 ° C. by using cooling water, a Peltier element, or the like, so that the temperature is controlled. ing.
  • the temperature control plate 71 has a notch 71 a as shown in FIG. 9, and the lift pins 84 buried below the temperature control plate 71 are moved by the lift cylinder 81. It can emerge from the surface of the temperature control plate.
  • the temperature control plate 71 can be moved along a rail 77 by, for example, a motor 79a, so that the wafer can be moved with respect to the heating plate 86 while controlling the temperature of the wafer. Is to be delivered.
  • the pre-baking unit (PAB) and the post-exposure-baking unit (PEB) have an air flow path 75c for controlling the air pressure.
  • the flow path 75c Is supplied to the processing chamber 3 ° via the fan 87a.
  • the air in the processing chamber 30 is exhausted from an exhaust port 75d by fans 87b provided on both wall surfaces.
  • the wafer W is transferred between the first processing unit A1 and the first main wafer transfer device A1.
  • An opening 75a is provided for transfer, and an opening 75b is provided on the other side surface so as to face the opening on the second main wafer transfer device A2 side. ing.
  • These openings 75 a and 75 b have shutters 76 a and 76, respectively, which can be freely opened and closed by a drive unit (not shown). b is provided.
  • FIG. 11 is a configuration diagram showing a control system for controlling the coating and developing treatment apparatus 1.
  • the coating / developing apparatus 1 includes the resist coating unit (COT), the developing unit (DEV), the pre-baking unit (PAB), the post-exposure jar unit (PEB), and the sensor S described above. a to S are connected to path 5.
  • all other units such as a post-baking unit (POST) and a cooling unit (CPL) are connected to the bus 5 in the same manner.
  • POST post-baking unit
  • CPL cooling unit
  • control unit 35 is connected to the bus 5, and the control unit 35 includes, for example, a storage unit 61 for each sensor measurement data, a storage unit 62 for a wafer data, a storage unit for a process recipe data 63, a line width model.
  • a storage unit 64 and a film thickness model storage unit 65 are connected to each other.
  • Each sensor measurement data storage unit 61 stores the measurement results by the sensors S1 to S3 and the sensors Sa to Sd in the resist coating unit (COT).
  • the wafer data storage unit 62 stores, for example, an identifier assigned to each wafer, and determines in which unit these wafers are located in the coating and developing processing apparatus 1 and how much processing is performed. The time performed is stored for each wafer. This identifier can be assigned, for example, in the order of wafers stored in multiple stages in the wafer cassette CR, for example, in order from the top in the cassette CR.
  • the process receiver storage unit 63 stores a processing process, a recipe, and the like requested by the host, such as a heat treatment temperature and the number of rotations of the substrate at the time of resist application.
  • the line width model storage unit 64 stores a plurality of data (parameters) collected in order to obtain a desired resist line width. Evening) is stored as a mathematical formula.
  • the film thickness model storage unit 65 stores a plurality of data collected in order to obtain a desired resist film thickness as mathematical expressions.
  • the control unit 35 mainly performs a principal component analysis on the line width or the film thickness based on the sensor data in each sensor measurement data storage 61 and the data in the process recipe data storage 63. I do. Details of these will be described later. Next, the operation of the present embodiment will be described. In the present embodiment, a case where a normal data area is created in the above-described principal component analysis will be described with reference to a flow chart shown in FIG.
  • the wafer carrier 22 accesses the cassette CR containing the unprocessed wafers W on the cassette mounting table 20, and takes out one wafer W from the cassette CR. . Then, the wafer W is transferred to the first main transfer unit A1 via the transfer / cooling unit (TCP), and transferred to the bottom coating unit (BARC). Then, here, an anti-reflection film is formed to prevent the exposure light from being reflected from the wafer during the exposure (Step 1). Next, the wafer W is transported to a baking processing unit in the third processing unit G3, where a predetermined heating process is performed at, for example, 120 ° C. (Step 2), and a cooling unit (CPL) After the predetermined cooling process is performed in (3) (Step 3), the wafer W forms a desired resist film in a resist coating unit (COT) (Step 4).
  • a predetermined heating process is performed at, for example, 120 ° C.
  • CPL cooling unit
  • the resist coating unit when the wafer W is transported to a position immediately above the cup CP, first, the pins 148 rise, receive the wafer W, and then descend, and the wafer W is moved to the spin chuck 142. It is placed on top and vacuum-adsorbed. Then, the nozzle 135 waiting in the nozzle standby section moves to above the center position of the wafer W. Then, after a predetermined resist solution is discharged to the center of the wafer W, the driving mode 143 outputs, for example, 100 rp. By rotating the resist at m to 4000 rpm, the resist solution is diffused over the entire surface of the wafer W by the centrifugal force to complete the application of the resist film.
  • the wafer W is transferred to the pre-printing unit (PAB) by the first main transfer device A1.
  • the wafer W is placed on the temperature control plate 71 shown in FIG. 9, and the wafer W is moved to the heating plate 86 side while controlling the temperature.
  • the wafer W is placed on the heating plate 86, and a predetermined heating process is performed at, for example, about 100 ° C.
  • the temperature control plate 71 accesses the heating plate 86 again, and the wafer W is transferred to the temperature control plate 71, and the temperature control plate ⁇ 1 returns to the original state as shown in FIG.
  • the wafer W moves to the position and waits until it is taken out by the first main transfer device A1 (Step 5).
  • the time from the completion of the heating process by the heating plate 86 to the removal by the first main transfer device A1 is defined as the standby time in the pre-baking unit (PAB) x 2 [seconds].
  • the waiting time x 2 in the bottom of the sheet processing of the coating and developing apparatus 1 according to this embodiment, since a different value for each ⁇ Koha W, each wafer on which it it the identifier attached, Wehade It is sequentially stored in the overnight storage section 62.
  • W is cooled at a predetermined temperature in a cooling unit (CPL) (step 6). Thereafter, the wafer W is taken out by the second main transfer device A2, transferred to the film thickness inspection device 119, and a predetermined resist film thickness may be measured. Then, the wafer W is transferred to the exposure apparatus 100 via the transition unit (TRS) in the fifth processing unit G5 and the interface unit 14 and subjected to exposure processing there ( Step 7). Next, the wafer W is transferred to the second main transfer unit A2 via the transition unit (TR S) in the in-face unit 14 and the fifth processing unit G5, and then post-exposed. It is transported to one jarring unit (P EB).
  • CPL cooling unit
  • predetermined heat treatment and temperature control are performed by the same operation as the operation in the pre-baking unit (PAB) (step 8).
  • the time from the end of the exposure processing to the time when the heating processing is started after being carried into the vest Exposure beaker unit (PEB) is defined as X i [seconds].
  • This time X i has a different value for each wafer W under the single wafer processing of the coating and developing processing apparatus 1 according to the present embodiment. It is sequentially stored in the overnight storage section 62. Thereafter, a predetermined cooling process is performed in the cooling process unit (CPL) (step 9).
  • Step 10 W is transported to the development processing unit (DEV) to be developed (Step 10).
  • DEV development processing unit
  • the pins 148 rise, receive the wafer W, and then descend, and the wafer W is placed on the spin chuck 142. It is placed and vacuum-adsorbed.
  • the nozzle 135 waiting in the nozzle standby section moves to a position above the peripheral position of the wafer W.
  • the wafer W is set to, for example, 10 r ⁇ !
  • the nozzle 135 rotates at a speed of about 100 rpm, and the nozzle 135 moves in the Y direction from the periphery of the wafer W, and a predetermined developing solution is applied by the centrifugal force of the rotation. Let it. Thereafter, a rinsing liquid is supplied onto the wafer to wash away the developing solution, and the wafer is rotated to perform a shaking-off drying process.
  • FIG. 13 shows the relationship between the lapse of time and the heating temperature when processing one wafer in the pre-baking unit (P AB) or the botexpo-king unit (PEB). As shown in the figure, the heating temperature shows a slight variation of, for example, soil 1-2 ° C over time.
  • the heating temperature at an arbitrary time is plotted (for example, point A), and this temperature data is stored in the sensor measurement data storage unit 61. I will do it.
  • the temperature data is also stored, for example, for each wafer for units that perform various processing.
  • FIG. 14 shows, for example, the relationship between the passage of time and the air pressure in the coating and developing treatment apparatus 1.
  • the atmospheric pressure in the device 1 is obtained by plotting ( ⁇ ⁇ , C, D) atmospheric pressure data at predetermined time intervals, for example, and storing the data in the sensor measurement data storage unit 61. The same applies to the temperature inside the device 1.
  • FIG. 15 shows, for example, the relationship between the passage of time and the temperature of the resist applied to the wafer.
  • the temperature of the resist is, for example, a temperature of a resist stored in a resist tank in a chemical chamber (CHM) 26 measured by a temperature sensor (not shown).
  • CHM chemical chamber
  • For the measurement of the resist temperature as in the case of the heat treatment unit described above, for example, the temperature at an arbitrary time is plotted (point ⁇ ) for each wafer, and this is stored in the sensor measurement data storage section. 6
  • point ⁇ the temperature at an arbitrary time
  • the parameters shown in FIGS. 13, 14, and 15 are only a part of the plurality of parameters existing in the apparatus 1, and the formation of a resist pattern is also performed. In this case, it is preferable to extract parameters that affect the pattern shape as much as possible. For example, as described above, after the exposure process Time until the heat treatment is started Waiting time x 2 at the pre-baking unit (PAB) is also an important parameter.
  • PAB pre-baking unit
  • FIG. 16 shows the data stored in the line width model storage unit 64 shown in FIG.
  • This line width model CD has the above-mentioned time X i and x 2 , temperature x 3 [° C] in coating and developing apparatus 1 and atmospheric pressure x 4 [hPa] in coating and developing apparatus 1 (temperature x 3 and atmospheric pressure x 4 is obtained by the sensors S a to S d shown in FIG. 1 as described above.)
  • the spring width ⁇ modenole CD [nm] a iX i + a iX 2 + a 3 x 3 + a 4 x 4 + a 5
  • 65 x 4 - can be represented by the model formula of 466.608. This model formula was created by experiments.
  • the line width that will be actually formed is obtained by such a model formula. Then, only data values for which the desired line width is calculated from a large number of data values in each of these parameters x l 3 x 2 , x 3 , x 4 , that is, only normal data values are collected. 17 is stored in the film thickness model storage unit 65 shown in FIG. De Shows overnight.
  • the thickness model, and the pressure, and the cup temperature y 2, by using the humidity y 3, similarly to the line width model, film thickness model T b 1 y 1 + b 2 y 2 + b 3 y 3 + b 4
  • the film thickness that will actually be formed is determined using such a model formula. Then, the data value desired film thickness from a number of de Isseki values in each of these parameters Isseki y 13 y 25 y 3 are calculated only, i.e. only collects normal data values.
  • FIG. 18 is a diagram showing a normal region obtained by obtaining a principal component.
  • the X-axis and Y-axis shown show the main components, respectively.
  • X and Y are weight functions for each parameter.
  • X 2 3 y 1 5 y 2 are normal data values in which a desired film thickness is calculated from a large number of data values in each parameter as described above.
  • a normal region R is created based on this principal component (step 13).
  • the normal region R can be used as an index when a resist pattern is actually formed at the stage of manufacturing the product layer 8. That is, the data value of each wafer in the region R is an integration of wafers whose line width and film thickness are normal and which is expected to obtain a desired resist pattern.
  • the distance r from the origin of the X-axis and the Y-axis may be defined, and if the data of each wafer is within this range, the wafer may be regarded as normal.
  • a warning can be issued using a warning buzzer, a warning light, a warning display on the operation display, or the like.
  • the defective wafer can be reduced by stopping the coating and developing processing apparatus 1 or the like. Can be.
  • the heat treatment temperature, the atmospheric pressure, and the temperature in the apparatus have been conventionally determined for each unit such as a heat treatment unit, a resist coating unit, and a development unit, and for each sensor (S1 to S4) in the apparatus. Since the temperature of the cup CP, the temperature of the resist, etc. were controlled independently, if there was any correlation between the heat treatment temperature, the resist temperature, etc., a resist pattern of a desired shape could be obtained. Did not.
  • FIG. 19 shows a state in which the data has moved from the normal region R to the region indicated by the broken line as a whole in the direction of the arrow.
  • FIG. 20 shows the relationship between the development time and the line width in the development processing. It is clear that the longer the time, the clearer the correlation, the smaller the line width. Therefore, by adopting this development time as one of the parameters, it is possible to easily and precisely control and manage the line width.
  • the line width and the film thickness are different from the heating temperature in the Boss Exposure Baking Unit (PEB) and the heating temperature in the Pre-Baking Unit (PAB), respectively. It is known that the heating temperature has a proportional relationship, so that these heating temperatures may be adopted as parameters.
  • PEB Boss Exposure Baking Unit
  • PAB Pre-Baking Unit
  • the present invention is not limited to this.
  • the resist pattern has a sidewall, an aspect ratio, a pitch between patterns, and the like.
  • a function model may be created to more precisely manage the resist pattern.
  • the parameters described in the above embodiment not only the heating temperature and the development time, but also the concentration and temperature of the developer, the temperature of the resist, the discharge speed of the resist from the nozzle, and the like may be adopted. Further, the exposure amount (Dose) in the case of performing the exposure processing by the exposure apparatus 100 as a parameter can be adopted.
  • the relationship between the exposure amount (m J) and the line width (nm) is approximately proportional.
  • the exposure focus value may be adopted.
  • two main components are used as shown in FIG. 18. However, it is possible to manage data in a multidimensional manner by increasing the number of main components.
  • the transfer time of the wafer by the first main transfer device A1 and the second main transfer device A2 is also considered to be one of the factors affecting the variation of the line width and the resist film thickness. May be adopted as an overnight param.
  • a Mahalanobis reference space is obtained from a group of data at the time when the film thickness is normal, and a Mahalanobis distance from the reference space is calculated for a wafer having an unknown film thickness. This is to determine whether the film thickness of the unknown data is normal.
  • Figure 24 shows, for example, the values of each sensor for each wafer where the resist film thickness is normal, and the values of each sensor for each wafer where the resist film thickness is unknown or not. It is a table. Whether or not the film thickness is a normal value can be calculated from the film thickness model T as described above.
  • wafers W 1 to W 218 are wafers having normal film thicknesses
  • wafers W 219 to 430 indicate wafers having unknown film thicknesses
  • X I to X 5 indicate each sensor data overnight value, and in the above-mentioned embodiment, are parameters such as atmospheric pressure and force gap temperature.
  • FIG. 26 is a block diagram of a control system used in the present embodiment.
  • This control system is included in the control unit 35 shown in FIG. 11 described above.
  • This control system has a Mahalanobis distance calculation program storage unit 94, a judgment program storage unit 95, and a Mahalanobis reference space storage unit 92, and further has a CPU 90 and a RAM 91.
  • Mahalanobis Reference Space Storage 9 2 The reference space calculated by the program for calculating the Novice distance only for wafers having normal data (for example, wafers W1 to W218) is stored.
  • the judgment program storage unit 95 grasps the Mahalanobis distance calculated only for unknown wafers (for example, wafers W219 to 430), and determines that the film thickness on those wafers is normal. It stores a program for determining whether or not this is the case.
  • the CPU 90 performs a predetermined calculation process.
  • the RAM 91 temporarily stores the data for a predetermined process.
  • the following describes the Mahalanobis reference space and the Mahalanobis distance.
  • FIG. 25 shows the average value and the standard deviation of the variables X:! To X5 for the wafers W1 to W218 shown in FIG.
  • the Mahalanobis reference space is calculated from these mean values, standard deviations, and correlation coefficients.
  • the correlation coefficient is expressed, for example, assuming that there are variables X and y, by dividing the covariance of X and y by the product of the standard deviation of X and the standard deviation of y.
  • the Maharabis reference space is actually a normal space that can be grasped by obtaining the Mahalanobis distance for the wafers W1 to W218 having a normal film thickness as described below.
  • the average Mahalanobis distance in the reference space obtained in this way is 1.
  • X 1 is obtained by subtracting the average of X 1 from the variable X 1 and dividing by the standard deviation of X 1 (this is generally called “Normalization”). In other words, it is expressed by equation (2). If such calculations are similarly performed for X2 to x5, the vector ⁇ is expressed by equation (3).
  • the correlation coefficient matrix R and its inverse matrix are expressed by equation (4). If the vector ⁇ ⁇ (or vector ⁇ ) and the correlation coefficient matrix R are obtained in this way, the Mahalanobis distance can be obtained from equation (1). For example The distance of the screw is 0.64.
  • FIG. 28 shows the result obtained by calculating the Mahalanobis distance for wafers W220 to W430.
  • the horizontal axis is the number of wafer W, and the vertical axis is the distance of the maharabis. Since the average of the distance of the Mahalavis in the reference space is 1, it can be determined that there is a tendency that the closer to 1, the better product and the farther than 1, the poor product. This determination is made by the above determination program.
  • a threshold value for determining whether or not the product is good is determined. For example, assuming that the Mahalanobis distance is MD, it is normal if MD is less than 2.0, and the processing is continued as it is. If 2.0 ⁇ MD ⁇ 20, the line width model is explained as described in the above embodiment. Correct the imaging time and the number of rotations of the wafer based on the CD and the film thickness model T. If 20 ⁇ MD, it is apparently abnormal, so stop the operation of the device 1 and take other measures. Can be The threshold value of the distance of Mahalavis is not limited to 2.0, but can be changed as appropriate.
  • a product wafer is manufactured while predicting the film thickness and the line width using each parameter such as the sensor data described above, and actually performing feedforward control.
  • FIG. 29 is a configuration diagram showing a control system for controlling the coating and developing treatment apparatus 1.
  • the coating / developing apparatus 1 includes the above-described resist coating unit (COT), developing unit (DEV), pre-baking unit (PAB), post-exposure unit (P EB), and sensor Sa.
  • ⁇ S d are connected to bus 5.
  • all other units such as the post-baking unit (POST) and cooling unit (CPL) are the same. Connected to bus 5.
  • control unit 35 is connected to the bus 5.
  • the control unit 35 includes, for example, a storage unit 61 for each sensor measurement data, a storage unit for a wafer data 62, and a storage unit for a process recipe data.
  • the line width model storage unit 64, the film thickness model storage unit 65, the development time / line width model storage unit 28, and the rotation speed-film thickness model storage unit 29 are connected to each other.
  • Each sensor measurement data storage section 61 stores the measurement results by the sensors S1 to S3 and the sensors Sa to Sd in the resist coating unit (C • T).
  • the wafer storage unit 62 stores, for example, an identifier assigned to each wafer, which unit is located in the coating and developing apparatus 1, and what kind of processing is performed. Is performed for each wafer. This identifier can be assigned, for example, in the order of wafers stored in multiple stages in the wafer cassette CR, for example, in order from the top in the cassette CR.
  • the process receiver storage section 63 stores the processing process requested by the host.
  • the line width model storage unit 64 stores a plurality of data collected in order to obtain the line width of a desired resist pattern as a mathematical expression.
  • the film thickness model storage unit 65 stores a plurality of data collected in order to obtain a desired resist film thickness as mathematical expressions.
  • Developing Time / Line Width The model storage unit 28 stores the correlation between the developing time and the line width of the pattern in the form of, for example, a mathematical expression.
  • the rotation speed-thickness model storage unit 29 stores the correlation between the rotation speed of the wafer and the resist film thickness at the time of forming the resist film, for example, as a mathematical expression.
  • FIG. 1 a series of processing steps of the coating and developing processing apparatus 1 described above, FIG. 1
  • the wafer carrier 22 is charged to the cassette CR containing the wafer W before processing on the cassette mounting table 20. And remove one wafer W from the cassette CR. Then, the wafer W is transferred to the first main transfer device A1 via the transfer / cooling unit (TCP), and transferred to the bottom coating unit (BARC). Then, here, an anti-reflection film is formed to prevent reflection of the exposure light from the wafer at the time of exposure (Step 301).
  • the wafer W is transported to the baking processing unit in the third processing unit G3, where a predetermined heating process is performed at, for example, 120 ° C. (Step 302), and a predetermined process is performed in the cooling processing unit (CPL). After the cooling process (Step 303), a desired resist film is formed on the wafer W in a resist coating unit (COT) (Step 304).
  • this resist coating unit when the wafer W is transported to a position immediately above the cap CP, the pins 148 first rise, receive the wafer C, and then descend, and the wafer W is moved by the spin chuck 142. It is placed on top and vacuum-adsorbed. Then, the nozzle 135 waiting in the nozzle standby section moves to above the center position of the wafer W. Then, after a predetermined resist solution is discharged to the center of W, the resist solution is diffused over the entire surface of the wafer W by the centrifugal force by rotating the resist solution at, for example, 1 ° rpm to 4000 rpm by the driving mode 143. This completes the application of the resist film.
  • the wafer W is transferred to the pre-forming unit (PAB) by the first main transfer device A1.
  • the wafer W is placed on the temperature control plate 71 shown in FIG. 9, and the wafer W is moved to the heating plate 86 side while controlling the temperature.
  • the wafer W is placed on the heating plate 86, and a predetermined heating process is performed, for example, at around 100 ° C. This heating process ends
  • the temperature control plate 71 accesses the heating plate 86 again to transfer the wafer W to the temperature control plate 71, and the temperature control plate ⁇ 1 moves to the original position as shown in FIG.
  • the wafer W waits until it is taken out by the first main transfer device A1 (step 305).
  • the time from completion of the heating process by the heating plate 86 to removal by the first main transfer device A1 is defined as a standby time in the pre-baking unit (PAB) x 2 [seconds].
  • the waiting time X 2 in the bottom of the sheet processing of the coating and developing apparatus 1 according to this embodiment, since a different value for each the wafer W, it it identifier assigned wafers your capital, Uwehadeta stores The information is sequentially stored in the unit 62.
  • the W is cooled at a predetermined temperature in a cooling processing unit (CPL) (step 303).
  • the wafer W is taken out by the second main transfer device A2, transferred to the film thickness inspection device 119, and the predetermined resist film thickness may be measured.
  • the wafer W is transferred to the exposure apparatus 100 via the transition unit (TRS) in the fifth processing unit G5 and the interface unit 14 and exposed there (step 3). 07).
  • TRS transition unit
  • the wafer W is transferred to the second main transfer unit A2 via the transition unit (TRS) in the in-face unit 14 and the fifth processing unit G5. Transported to the post-exposure beaking unit (PE B). After the exposure processing, the wafer W may be temporarily stored in the buffer cassette BR at the in-face portion 14 in some cases.
  • TRS transition unit
  • PE B post-exposure beaking unit
  • predetermined heat treatment and temperature control are performed by the same operation as that in the pre-baking unit (PAB) (step 308).
  • X i [seconds] be the time from the end of the exposure processing to the time when the heating processing is started after being carried into the boss exposure sponge unit (PEB). This time X i
  • the value is different for each wafer W, it is sequentially stored in the wafer data storage unit 62 for each wafer with an identifier.
  • the wafer W is transported to the development processing unit (DEV), where the development processing is performed (step 309).
  • the development processing unit (DEV) when the wafer W is transported to a position directly above the cup CP, the pins 148 first rise, receive the wafer W, and then descend. It is placed on 14 2 and vacuum-adsorbed. Then, the nozzle 135 that has been waiting in the nozzle waiting section moves to a position above the peripheral position of the wafer W. Subsequently, the driving mode 1 4 3 changes the wafer W to, for example, 10 r ⁇ ⁇ !
  • the nozzle 135 rotates at around 100 rpm, and the nozzle 135 moves in the Y direction from the periphery of the wafer W, while applying a predetermined developing solution by the centrifugal force of the rotation.
  • the image processing proceeds.
  • a rinsing liquid is supplied onto the wafer to wash away the developing solution, and the wafer is rotated to perform a shaking-off drying process.
  • the wafer W is taken out by the second main transfer device A2, the transition unit (TRS) in the fourth processing unit G4, the first main transfer device A1, and the third
  • the wafer is returned to the cassette cassette CR in the cassette station 10 via the transition unit (TRS) in the processing unit and the wafer carrier 22.
  • a predetermined heating process may be performed by a post-baking unit (POST).
  • POST post-baking unit
  • the line width may be inspected by the line width inspection apparatus 118 in some cases.
  • the line width after the development processing can be predicted before the wafer development processing from the line width model CD shown in FIG. That is, the relationship between the development time t and the line width is obtained in advance by an experiment, and is represented by, for example, a relationship as shown in FIG. Thereby, a desired development time can be obtained.
  • the line width can be easily controlled by controlling the most easily controllable development time among a plurality of development processing conditions, for example, the development time, the concentration of the developer or the temperature of the developer.
  • X i and x 2 of these parameters Isseki are parameters Isseki respect to time, be controlled in such a line width for each wafer, the present embodiment This is effective for the single-wafer processing apparatus according to the first embodiment. That is, the time may be different for each wafer.
  • a line width model can be created according to, for example, differences in the concentration and viscosity of the resist. Development processing conditions can be controlled according to the type. This is the same in the case of the film thickness control described below.
  • the film thickness after the film formation can be predicted from the film thickness model shown in FIG. FIG. 17 shows data stored in the film thickness model storage unit 65 shown in FIG.
  • the relationship between the wafer rotation speed and the film thickness during the formation of the resist film has been determined in advance by an experiment (see FIG. 21), so that the desired wafer rotation speed can be obtained.
  • T 4050A (405 nm) and the target film thickness is 400 OA (400 nm), for example, 3
  • the target film thickness of 4000 A (400 nm) can be achieved by setting the wafer rotation speed from 500 rpm to 3700 rpm.
  • a film thickness model was created with parameters “temperature y 2 of force pump CP” and “humidity y 3 in the unit” as parameters. Based on this film thickness model, the resist film formation conditions were determined. By controlling the number of rotations of one wafer, feedforward control becomes possible. That is, conventionally, data such as atmospheric pressure, temperature and humidity of the cup CP have not been used for controlling the film thickness, but in the present embodiment, the film thickness is predicted using these parameters. This enables precise control of the film thickness.
  • FIG. 22 shows the relationship between the heating temperature in the post-exposure baking unit (PEB) and the line width of the resist pattern. This shows that the line width tends to be narrower as the heating temperature is higher.
  • PEB post-exposure baking unit
  • the line width is controlled by controlling the heating temperature in the post-exposure baker unit (PEB) using the line width model. It can be controlled precisely by feed feed. In addition, by controlling both the heating temperature and the development time, the line width can be controlled with higher accuracy.
  • FIG. 23 shows the relationship between the heating temperature in the pre-baking unit (PAB) and the resist film thickness. This indicates that the higher the heating temperature, the smaller the film thickness tends to be.
  • PAB pre-baking unit
  • Thickness can be precisely controlled by feed forward.
  • the line width can be controlled with higher accuracy.
  • the relationship between the line width and the film thickness is not described, but if this relationship is known, the line width and the film thickness can be controlled more precisely based on this relationship. it can.
  • the present invention is not limited to the embodiments described above, and various modifications are possible.
  • at least one of the development time and the heating temperature is controlled in the line width control, and at least one of the wafer rotation speed and the heating temperature is controlled in the film thickness control.
  • BAKE baking unit
  • HP heating unit
  • CPL cooling temperature in the cooling unit
  • TCP cooling unit
  • the line width is controlled, not only the development time is controlled as the development processing condition, but also the concentration and temperature of the developer may be controlled.
  • the film thickness not only the rotation speed of the wafer may be controlled as the resist film forming condition, but also the temperature of the resist, the discharge speed of the resist from the nozzle, and the like may be controlled.
  • the transfer time of the wafer by the first main transfer device A1 and the second main transfer device A2 is also considered to be one of the factors affecting the variation of the line width and the resist film thickness.
  • the above-described line width model and film thickness model can also be created as a parameter, whereby the processing conditions and the like can be controlled and the line width and the like can be precisely managed as described above.
  • FIG. 32 is a conceptual control block diagram for describing the present embodiment.
  • This control system is, for example, a combination of a feedforward control system FF and a feedback control system FB.
  • the feedforward controller 51 operates based on a target value 53 of the control target 58, disturbance information by the disturbance detection means 52, and a mathematical model 50.
  • the manipulated variable information is output to means 57.
  • the feedforward control system FF is the control system described above.
  • the control target 58 is a resist film thickness, a line width, or the like.
  • the function model 50 includes the line width model, the film thickness model, and the like.
  • the disturbance detection means 52 includes the sensors S1 to S3 and Sa to Sd for detecting each parameter value. memory or the like (not shown) for storing parameters Isseki values such as x 1 3 x 2 including.
  • the operation means 57 includes a development time, the number of rotations of the wafer during the coating process of the resist, and the like, or an exposure amount in the exposure apparatus 100 (not described above).
  • FIG. 36 (a) shows the relationship between the dose (Dose) (mJ) and the line width (nm). Since it is known that the exposure amount and the line width are almost proportional to each other, the line width can be easily controlled by the exposure amount.
  • the exposure-line width model may be stored in advance by a storage unit (not shown).
  • the control amount detection means 56 includes, for example, a film thickness inspection device 119 and a line width inspection device 118 (see FIG. 3).
  • the film thickness inspection apparatus 119 include, but are not limited to, an optical interferometer and a spectrophotometer.
  • Examples of the line width inspection device 118 include, but are not limited to, a scanning electron microscope and an inspection device using pattern matching. As shown in FIG.
  • control system 66 is included in, for example, the control unit 35 shown in FIG.
  • the feedforward controller 51 and the feedback controller 54 have a storage device and a processor for storing a program for performing a predetermined process, not shown.
  • FIG. 34 shows a control flow in which the resist film thickness is controlled, for example.
  • data collection of the above parameters is performed (step 211).
  • the feedforward controller 51 was stored in the film thickness model (film thickness model T, rotation speed-film thickness model storage unit 29 (see Figs. 29 and 21)).
  • the prediction is made by calculating the number of rotations of the wafer using the model (steps 2 1 2 1 and 2 1 2 2).
  • the number of rotations is input to the apparatus 1 (step 2 13), and a resist film is actually formed on the wafer according to the recipe (step 2 14).
  • the input of the recipe may be performed manually by an operator.
  • the resist film thickness is measured by the film thickness inspection device 119 (step 215).
  • the number of rotations of the rotor, which becomes the target film thickness is calculated from the measured value of the film thickness (step 2 16).
  • This rotation speed can be calculated, for example, from a rotation speed-thickness model.
  • the pressure y have Kadzupu temperature y 2 is the respective parameter Isseki value when performing the formation of the resist film at a rotational speed (e.g. Sensade Isseki, It is preferable to add humidity y 3 ) to the data base of the film thickness model. If recalculation is performed with the added contents, the database can be enriched and more precise control becomes possible.
  • FIG. 37 shows the rotation speed-film thickness model stored in the rotation speed—film thickness model storage unit 29.
  • the steps 218-1 and 218-2 will be specifically described. Now, suppose that the target film thickness is 400 (nm), the rotation speed during actual processing (predicted rotation speed) is 3700 rpm, and the measured film thickness is 405 nm. That is, when the measured film thickness deviates from the target value, the rotation speed is corrected from 3700 rpm to, for example, 3950 rpm, and the wafer is processed. It is also preferable to add or update the corrected rotational speed-thickness model shown by the broken line in the database.
  • FIG. 35 shows a control flow in which the line width of the resist pattern is to be controlled, for example.
  • the line width is controlled by the exposure amount (Dose).
  • the parameter as Isseki does not but include for example, time x 2 as described above is not limited thereto.
  • the line width can be controlled by manipulating the exposure amount by preparing the exposure-line width models shown in FIGS. 36 (a) and 36 (b) in advance. .
  • the feedforward controller 51 uses the line width model (line width model CD, exposure-line width model) to calculate the amount of exposure for prediction (step 2 2 2— 1, 2 2 2— 2).
  • the rotation number recipe is input to the exposure apparatus 100 (step 222), and the wafer is exposed according to the recipe (step 222).
  • the input of the recipe may be performed manually by an operator.
  • a predetermined process such as a development process is performed to form a resist pattern.
  • the line width is measured by the line width inspection device 118 (step 225).
  • an exposure amount serving as a target line width is calculated from the measured value of the line width (step 222).
  • This exposure amount can be calculated, for example, from an exposure amount line width model. It is preferable that, together with the calculation result of the exposure amount, each parameter at the time of performing the exposure processing with this exposure amount be added to the data base of the line width model. If recalculation is performed with the added content, the data can be enriched overnight and more precise control becomes possible.
  • the amount of exposure depends on the intensity of the lamp as a light source, the time when the shirt to open and close the light from the lamp is open.
  • the product of The time during which the shirt is open is the time during which the exposure light passes and irradiates the substrate. Therefore, it is possible to control the line width by controlling the exposure amount depending on the lamp intensity or the time when the shutter is open.
  • step 222 After calculating the exposure amount in step 222, the exposure light amount (exposure amount predicted in step 222) and the exposure amount calculated in step 222, when actually performing the exposure processing, A judgment is made as to whether or not they have been performed (steps 222). one If so, the processing of the wafer is continued (step 2 28-1). If they do not match, change (correct) the exposure value calculated in step 2 16 (step 2 28-2), and then continue processing the wafer.
  • the film thickness and the line width are measured for the wafer processed by the feedforward control, and the rotation speed, the exposure amount, and the developing time of the wafer are adaptively corrected.
  • Line width can be controlled. Therefore, a desired resist film and resist pattern can be formed.
  • the present invention it is possible to analyze the environment around the substrate as a whole and manage the resist pattern with high accuracy. In addition, this can improve the yield.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

L'étape 11 correspond à l'extraction de paramètres tels que la température, le pression et l'humidité dans un dispositif ou le temps de transport dans le dispositif ainsi que les conditions régnant dans l'environnement autour du substrat agissant sur la formation d'un motif de réserve, et au rassemblement uniquement de valeurs de données normales utilisées au cours de la formation d'un motif de réserve désiré. L'étape 12 correspond au calcul d'au moins deux composantes principales par application de la technique d'analyse de composantes principales aux valeurs de données normales, de sorte que l'étape 13 peut permettre la production d'une zone normale. L'utilisation de cette zone normale produite en tant qu'indice au cours de la formation d'un motif de réserve sur un substrat en tant que produit réel permet de déterminer facilement si le motif de réserve est normal.
PCT/JP2002/013320 2001-12-25 2002-12-19 Procede de traitement de substrat et dispositif de traitement de substrat WO2003056610A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002357620A AU2002357620A1 (en) 2001-12-25 2002-12-19 Substrate treatment method and substrate treatment apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2001-391286 2001-12-25
JP2001391286 2001-12-25
JP2002001394 2002-01-08
JP2002-001394 2002-01-08

Publications (1)

Publication Number Publication Date
WO2003056610A1 true WO2003056610A1 (fr) 2003-07-10

Family

ID=26625241

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/013320 WO2003056610A1 (fr) 2001-12-25 2002-12-19 Procede de traitement de substrat et dispositif de traitement de substrat

Country Status (3)

Country Link
AU (1) AU2002357620A1 (fr)
TW (1) TWI258059B (fr)
WO (1) WO2003056610A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210262781A1 (en) * 2020-02-21 2021-08-26 Tokyo Electron Limited Information processing apparatus, information processing method and computer-readable recording medium

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5757721B2 (ja) * 2009-12-28 2015-07-29 株式会社日立国際電気 基板処理装置、基板処理装置の異常表示方法、搬送制御方法およびデータ収集プログラム
JP6611652B2 (ja) * 2016-03-30 2019-11-27 東京エレクトロン株式会社 基板処理装置の管理方法、及び基板処理システム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0315849A (ja) * 1989-06-14 1991-01-24 Hitachi Ltd パターン形成方法
US5626782A (en) * 1994-10-12 1997-05-06 Mitsubishi Denki Kabushiki Kaisha Postexposure baking apparatus for forming fine resist pattern
JP2000252179A (ja) * 1999-03-04 2000-09-14 Hitachi Ltd 半導体製造プロセス安定化支援システム
EP1089146A2 (fr) * 1999-07-07 2001-04-04 Applied Materials, Inc. Méthode et dispositif pour surveiller un procédé au moyen d'une analyse de composants principaux
US6221787B1 (en) * 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0315849A (ja) * 1989-06-14 1991-01-24 Hitachi Ltd パターン形成方法
US5626782A (en) * 1994-10-12 1997-05-06 Mitsubishi Denki Kabushiki Kaisha Postexposure baking apparatus for forming fine resist pattern
US6221787B1 (en) * 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
JP2000252179A (ja) * 1999-03-04 2000-09-14 Hitachi Ltd 半導体製造プロセス安定化支援システム
EP1089146A2 (fr) * 1999-07-07 2001-04-04 Applied Materials, Inc. Méthode et dispositif pour surveiller un procédé au moyen d'une analyse de composants principaux

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210262781A1 (en) * 2020-02-21 2021-08-26 Tokyo Electron Limited Information processing apparatus, information processing method and computer-readable recording medium

Also Published As

Publication number Publication date
TW200301849A (en) 2003-07-16
AU2002357620A1 (en) 2003-07-15
TWI258059B (en) 2006-07-11

Similar Documents

Publication Publication Date Title
WO2012099106A1 (fr) Système de détermination d'une anomalie d'un dispositif de traitement et procédé de détermination d'une anomalie dudit système
JP3516195B2 (ja) 塗布膜形成方法及びその装置
JP4494332B2 (ja) リンス処理方法、現像処理装置、および制御プログラム
JP4448082B2 (ja) 基板処理装置
EP0856774A1 (fr) Procédé et dispositif pour le revêtement d'un réserve et le dévéloppement dudit réserve
US20100154826A1 (en) System and Method For Rinse Optimization
WO2006107523A1 (fr) Estimation, surveillance et compensation de courbure de plaquette
US7563043B2 (en) Coating/developing apparatus and substrate transfer method
JP2007088485A (ja) 基板処理装置及び基板処理方法
US20080204675A1 (en) Coating/developing apparatus and pattern forming method
US7128481B2 (en) Substrate processing apparatus for inspecting processing history data
JP4261107B2 (ja) 基板処理装置
WO2007032369A1 (fr) Dispositif de traitement de substrat, procédé de traitement de substrat, programme de traitement de substrat, et support d’enregistrement lisible sur ordinateur enregistré avec un tel programme
US20050061242A1 (en) Substrate processing apparatus for performing photolithography
JP2003273009A (ja) 基板処理方法及び基板処理装置
JP2003203837A (ja) 基板処理方法及び基板処理装置
JP3599330B2 (ja) 基板処理方法及び基板処理装置
JP3481499B2 (ja) レジスト処理方法及びレジスト処理装置
US20120045721A1 (en) Method for forming a self-aligned double pattern
JP3878907B2 (ja) 基板処理装置及び基板処理方法
WO2003056610A1 (fr) Procede de traitement de substrat et dispositif de traitement de substrat
US8341819B2 (en) Thermal processing system and method of using
JP2004207703A (ja) プロセス制御システム及びプロセス制御方法
JP2004214385A (ja) 塗布膜形成装置及びその方法
JP4202220B2 (ja) 検査装置、基板処理装置および基板処理方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP