WO2002081771A2 - Systeme et procede de depot en couches atomiques - Google Patents

Systeme et procede de depot en couches atomiques Download PDF

Info

Publication number
WO2002081771A2
WO2002081771A2 PCT/US2002/009999 US0209999W WO02081771A2 WO 2002081771 A2 WO2002081771 A2 WO 2002081771A2 US 0209999 W US0209999 W US 0209999W WO 02081771 A2 WO02081771 A2 WO 02081771A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate
chamber
ofthe
electrode
Prior art date
Application number
PCT/US2002/009999
Other languages
English (en)
Inventor
Tony P. Chiang
Karl F. Leeser
Jeffrey A. Brown
Jason E. Babcoke
Original Assignee
Angstron Systems, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/902,080 external-priority patent/US6800173B2/en
Priority claimed from US09/970,867 external-priority patent/US20020144657A1/en
Priority claimed from US10/004,488 external-priority patent/US20020076481A1/en
Priority claimed from US10/000,825 external-priority patent/US20020144786A1/en
Priority claimed from US09/999,636 external-priority patent/US6630201B2/en
Priority claimed from US09/999,499 external-priority patent/US20020144655A1/en
Priority claimed from US10/000,382 external-priority patent/US20020073924A1/en
Priority claimed from US09/999,532 external-priority patent/US20020076507A1/en
Application filed by Angstron Systems, Inc. filed Critical Angstron Systems, Inc.
Priority to EP02731204A priority Critical patent/EP1436443A1/fr
Publication of WO2002081771A2 publication Critical patent/WO2002081771A2/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • the present invention relates to advanced thin film deposition apparatus and methods used in semiconductor processing and related technologies
  • Thin film layers are used, for example, as MOSFET gate dielectrics, DRAM capacitor dielectrics, adhesion promoting layers, diffusion barrier layers, and seed layers for subsequent deposition steps
  • Low temperature processing is desired, for example, to prevent unwanted diffusion of shallow junctions, to better control certain reactions, and to prevent degradation of previously deposited materials and their interfaces
  • trenches and vias such as those used m metallization layers of semiconductor chips
  • copper interconnect technology requires a continuous thin film barrier layer and a continuous thin film copper seed layer to coat the surfaces of trenches and vias patterned in an insulating dielectric p ⁇ or to filling the features with copper by electrochemical deposition (ECD or electroplating)
  • a highly conformal, continuous barrier layer is required to prevent copper diffusion into the adjacent semiconductor (I e , silicon) matenal or dielectric
  • the barrier layer also often acts as an adhesion layer to promote adhesion between the dielectric and the copper seed layer
  • Low dielectric constant (I e , low-k) dielectrics are typically used to reduce mter- and intra-line capacitance and cross-talk, but often suffer from poorer adhesion and lower thermal stability than traditional oxide dielectrics, making the choice of a suitable adhesion layer more cntical
  • a non-conformal barrier layer, or one with poor step coverage or discontinuous step coverage can lead to copper diffusion and current leakage between adjacent metal lmes or to delamination at either the bar ⁇ er-to-dielect ⁇ c or bar ⁇ er-to-seed layer mterfaces, both of which adversely affect product lifetime and performance
  • the barrier layer should also be uniformly thin, to most accurately transfer the underlying trench and via sidewall profile to the subsequent seed layer, and have a low
  • a highly conformal, uniformly thin, continuous seed layer with low defect density is required to prevent void formation in the copper wires
  • the seed layer carries the plating current and acts as a nucleation layer
  • Voids can form from discontinuities or other defects in the seed layer, or they can form from pinch-off due to gross overhang ofthe seed layer at the top of features, both trenches and vias Voids adversely impact the resistance, electromigration, and reliability ofthe copper lines, which ultimately affects the product lifetime and performance
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD Atomic layer deposition
  • A-CVD atomic layer chemical vapor deposition
  • PVD physical vapor deposition
  • ALD has several advantages over PVD and traditional CVD. ALD can be performed at comparatively lower temperatures (which is compatible with the industry's trend toward lower temperatures), has high precursor utilization efficiency, can produce conformal thin film layers (i.e., 100% step coverage is theoretically possible), can control film thickness on an atomic scale, and can be used to "nano-engineer" complex thin films.
  • a typical ALD process differs significantly from traditional CVD processes.
  • two or more reactant gases are mixed together in the deposition chamber where either they react in the gas phase and deposit on the substrate surface, or they react on the substrate surface directly.
  • Deposition by CVD occurs for a specified length of time, based on the desired thickness ofthe deposited film. Since this specified time is a function of the flux of reactants into the chamber, the required time may vary from chamber to chamber.
  • each reactant gas is introduced sequentially into the chamber, so that no gas phase intermixing occurs.
  • a monolayer of a first reactant is physi- or chemisorbed onto the substrate surface. Excess first reactant is pumped out, possibly with the aid of an inert purge gas.
  • a second reactant is introduced to the deposition chamber and reacts with the first reactant to form a monolayer ofthe desired thin film via a self-limiting surface reaction. The self-limiting reaction halts once the initially adsorbed first reactant fully reacts with the second reactant. Excess second reactant is pumped out, again possibly with the aid of an inert purge gas.
  • a desired film thickness is obtained by repeating the deposition cycle as necessary. The film thickness can be controlled to atomic layer (i.e., angstrom scale) accuracy by simply counting the number of deposition cycles.
  • Physisorbed precursors are only weakly attached to the substrate. Chemisorption results in a stronger, more desirable bond. Chemisorption occurs when adsorbed precursor molecules chemically react with active surface sites. Generally, chemisorption involves cleaving a weakly bonded ligand (a portion of the precursor) from the precursor, leaving an unsatisfied bond available for reaction with an active surface site.
  • the substrate material can influence chemisorption.
  • a barrier layer such as tantalum (Ta) or tantalum nitride (TaN) must often simultaneously cover silicon dioxide (Si0 2 ), low-k dielectrics, nitride etch stops, and any underlying metals such as copper. Materials often exhibit different chemical behavior, especially oxides versus metals.
  • surface cleanliness is important for proper chemisorption, since impurities can occupy surface bonding sites. Incomplete chemisorption can lead to porous films, incomplete step coverage, poor adhesion between the deposited films and the underlying substrate, and low film density.
  • the ALD process temperature must be selected carefully so that the first reactant is sufficiently adsorbed (e.g., chemisorbed) on the substrate surface, and the deposition reaction occurs with adequate growth rate and film purity.
  • a temperature that is too high can result in desorption or decomposition (causing impurity incorporation) ofthe first reactant.
  • a temperature that is too low may result in incomplete chemisorption ofthe first precursor, a slow or incomplete deposition reaction, no deposition reaction, or poor film quality (e.g., high resistivity, low density, poor adhesion, and/or high impurity content).
  • Plasma-enhanced ALD also called radical enhanced atomic layer deposition (REALD)
  • REALD radical enhanced atomic layer deposition
  • the second reactant passes through a radio frequency (RF) glow discharge, or plasma, to dissociate the second reactant and to form reactive radical species to drive deposition reactions at lower process temperatures.
  • RF radio frequency
  • More information on plasma-enhanced ALD is included in "Plasma -enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers," by S. M. Rossnagel, et al., Journal of Vacuum Science and Technology B 18(4) July/ August 2000 pp. 2016-2020.
  • Plasma enhanced ALD still has several disadvantages.
  • the substrate temperature provides the required activation energy, and therefore the primary control, for the deposition reaction.
  • higher temperatures must still be used to generate reasonable growth rates for acceptable throughput. Such temperatures are still too high for some films of interest in IC manufacturing, particularly polymer-based low-k dielectrics that are stable up to temperatures of only 200°C or less.
  • metal precursors, particularly for tantalum (Ta) often still contain chlorine as well as oxygen impurities, which results in low density or porous films with poor barrier behavior and chemical instability.
  • the plasma enhanced ALD process like the conventional sequential ALD process described above, is fundamentally slow since it includes at least two reactant gases and at least two purge or evacuation steps, which can take up to several minutes with conventional valve and chamber technology.
  • ALD reactors including plasma enhanced ALD reactors, include a vertically- translatable pedestal to achieve a small process volume, which is important for ALD.
  • a small volume is more easily and quickly evacuated (e.g., of excess reactants) than a large volume, enabling fast switching of process gases. Also, less precursor is needed for complete chemisorption during deposition.
  • the reactors of U.S. Patent No. 6,174,377 and European Patent No. 1,052,309 A2 feature a reduced process volume located above a larger substrate transfer volume.
  • a typical transfer sequence includes transporting a substrate into the transfer volume and placing it on top of a moveable pedestal. The pedestal is then elevated vertically to form the bottom ofthe process volume and thereby move the substrate into the process volume.
  • the moveable pedestal has at least a vertical translational and possibly a second rotational degree of freedom (for high temperature process uniformity).
  • Typical ALD reactors have significant disadvantages.
  • First, conventional ALD reactors suffer from complex pedestal requirements, since the numerous facilities (e.g., heater power lines, temperature monitor lines, and coolant channels) must be connected to and housed within a pedestal that moves.
  • H atomic hydrogen
  • improved ALD reactors are desirable to make ALD better suited for commercial IC manufacturing. Desirable characteristics of such reactors might include higher throughput, improved deposited film characteristics, better temperature control for narrow process temperature windows, and wider processing windows (e.g., in particular with respect to process temperature and reactant species).
  • a deposition system includes a process chamber, a stationary pedestal for supporting a substrate in the process chamber, and a moveable shield forming at least a portion of an enclosure defining the process chamber. Motion ofthe shield with respect to the stationary pedestal controls a variable gas conductance path for gases flowing through the process chamber, thereby modulating the pressure ofthe process chamber with respect to an external volume.
  • the moveable shield in accordance with an embodiment ofthe present invention may include several gas channel openings for introducing various process gases into the process chamber. In some embodiments, the moveable shield may alternatively or additionally include an interior cooling or heating channel for temperature control.
  • the stationary pedestal in accordance with an embodiment ofthe present invention may include an electrostatic chuck (ESC) for improved coupling of RF power to the substrate, enabling improved ion generation, ion energy control, and uniform delivery of ions. Additionally, the use of an ESC in conjunction with a suitable gas medium inserted in the region between the ESC and the substrate provides improved temperature control and uniformity.
  • ESC electrostatic chuck
  • the deposition system may be a portion of a reactor for atomic layer deposition (ALD) of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other thin films used in advanced integrated circuit fabrication technologies.
  • ALD atomic layer deposition
  • the deposition system may be used to deposit barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other thin films used in advanced integrated circuit fabrication technologies.
  • a deposition system in accordance with an embodiment ofthe present invention provides several advantages.
  • the system allows triggering ofthe deposition reaction by a non-thermal mechanism, leading to higher quality films deposited at lower temperatures.
  • the deposition process parameters including pressure during processing, can be modulated quickly and more efficiently than is conventionally possible, leading to self-synchronization ofthe deposition and higher throughput.
  • By coupling RF power to the stationary pedestal the system allows improved ion generation, ion energy control, ion spatial uniformity, and uniform ion delivery for modulated, ion-induced deposition.
  • the stationary pedestal/moveable shield configuration simplifies the overall system design.
  • a shield in one embodiment ofthe present invention can be quickly and precisely positioned by a linear motor for improved performance.
  • the system allows gas introduction through multiple points, possibly including through the shield, which increases the flexibility of deposition process design.
  • a smaller total system volume is achievable with the stationary pedestal/moveable shield configuration.
  • a deposition system includes a process chamber for conducting an ALD process to deposit layers on a substrate.
  • An ESC retains the substrate.
  • RF power is coupled to electrodes in the process chamber to generate ions and reactive atoms.
  • the RF power creates a plasma.
  • Various RF generating circuits are described.
  • a deposition system includes a backside gas that increases thermal coupling between the substrate and the ESC.
  • the ESC is cooled via a coolant flowing through a coolant plate and is heated via a resistive heater.
  • a process chamber containing a substrate has at least one process gas introduced for reacting with a surface ofthe substrate to form a layer on the substrate.
  • the gas creates a certain pressure in the chamber.
  • the gas is expelled to end the reaction, and the gas pressure is reduced.
  • the detection ofthe change in pressure in said chamber automatically controls valves to supply a second gas into the chamber to further react with the surface of the substrate.
  • a deposition technique is an ALD process based upon the sequential supply of at least two separate reactants into a process chamber.
  • a first reactant reacts (becomes adsorbed) with the surface ofthe substrate via chemisorption.
  • the first reactant gas is removed from the chamber, and a second reactant gas reacts with the adsorbed reactant to form a monolayer ofthe desired film.
  • the process is repeated to form a layer of any thickness.
  • a plasma ofthe second gas is created using an RF source, which forms energized ions and reactive atoms to drive the reaction at low temperatures.
  • the process is self-limiting. By counting the cycles, the layer thickness is accurately controlled.
  • electrodes in the electrostatic chuck assembly are biased so as to create a DC bias on the substrate to attract charged gas ions in the chamber to the substrate. Improved chemisorption results.
  • a deposition system includes a process chamber and one or more gas inlets.
  • One gas inlet is formed as a ring surrounding the periphery of a substrate retained in the process chamber. Added control over a deposition process is obtained by such a ring.
  • the ring is moveable.
  • gas inlets and valves are described.
  • the gas flux on the substrate is controlled by controlling the conductance between the process chamber and a lower pressure volume outside the process chamber.
  • the flux of the gas on the substrate varies inversely with the chamber conductance, such that the flux ofthe gas on the substrate increases when the conductance decreases.
  • Various methods of performing an ALD process by controlling the conductance are disclosed as well as various structures for controlling the conductance.
  • Fig. 1 is a schematic diagram of a novel ALD reactor.
  • Fig. 2 shows various embodiments ofthe shield and shadow ring overlap region of Fig. 1.
  • Fig. 3 is a schematic diagram showing top introduction of gas into the process chamber ofthe
  • Fig. 4 is (a) a schematic diagram and (b) a plan view schematic diagram showing side introduction of gas into the process chamber of the ALD reactor of Fig. 1.
  • Fig. 5 is (a) a schematic diagram and (b) a plan view schematic diagram showing both top and side introduction of gas into the process chamber ofthe ALD reactor of Fig. 1.
  • Fig. 6 is a schematic diagram of a control system for the pedestal of Fig. 1.
  • Fig. 7 is a schematic diagram of a circuit for electrical biasing ofthe electrostatic chuck of Fig. 1.
  • Fig. 8 is a front-side perspective view of a novel ALD reactor.
  • Fig. 9 is a back-side perspective view ofthe ALD reactor of Fig. 8.
  • Fig. 10 is a back-side perspective view, from below, ofthe ALD reactor of Fig. 8.
  • Fig. 11 is a front-side cutaway perspective view ofthe ALD reactor of Fig. 8.
  • Fig. 12 is a front-side cutaway perspective view ofthe ALD reactor of Fig. 8.
  • Fig. 13 is a cross-sectional view of a chamber portion ofthe ALD reactor along line 13-13 of Fig. 8.
  • Fig. 14 is a detailed cross-sectional view ofthe right side ofthe chamber portion of Fig. 13 showing a load shield position.
  • Fig. 15 is a detailed cross-sectional view ofthe right side ofthe chamber portion of Fig. 13 showing a low conductance process shield position.
  • Fig. 16 is a detailed cross-sectional view ofthe right side ofthe chamber portion of Fig. 13 showing a high conductance process shield position.
  • Fig. 17 is a detailed cross-sectional view ofthe right side ofthe chamber portion of Fig. 13 showing a purge shield position.
  • Fig. 18 is a schematic diagram of a valve system for gas delivery in the ALD reactor of Fig. 8.
  • Fig. 19 is a schematic diagram of a valve system for gas delivery in the ALD reactor of Fig. 8.
  • Fig. 20 is a schematic diagram of a valve system for gas delivery in the ALD reactor of Fig. 8.
  • Fig. 21 is a schematic diagram of a valve system for gas delivery in the ALD reactor of Fig. 8.
  • Fig. 22 is a schematic diagram of a valve system for gas delivery in the ALD reactor of Fig. 8.
  • Fig. 23 is a perspective cross-section of two embodiments of a showerhead for gas distribution.
  • Fig. 24 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of Fig. 8.
  • Fig. 25 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of Fig. 8.
  • Fig. 26 is a perspective cross-section of an embodiment of a shield assembly for the ALD reactor of Fig. 8.
  • Fig. 27 is a cutaway perspective view of an embodiment of an electrostatic chuck assembly for the
  • Fig. 28 is a schematic diagram of a control system for the electrostatic chuck assembly of Fig. 27 ofthe ALD reactor of Fig. 8.
  • Fig. 29 is a schematic diagram of a control system including an alternative energy source for the electrostatic chuck assembly of Fig. 27 ofthe ALD reactor of Fig. 8.
  • Fig. 30 is a perspective view of an embodiment of a portion of an electrostatic chuck assembly for the ALD reactor of Fig. 8.
  • Fig. 31 is a schematic diagram of a circuit for electrical biasing ofthe electrostatic chuck ofthe ALD reactor of Fig. 8.
  • Fig. 32 is a schematic diagram of a circuit for electrical biasing ofthe electrostatic chuck ofthe
  • Fig. 33 is a schematic diagram of a circuit for electrical biasing ofthe electrostatic chuck ofthe ALD reactor of Fig. 8.
  • Fig. 34 is a schematic illustration of a conventional ALD process.
  • Fig. 35 is a schematic illustration of a novel ALD process.
  • Fig. 36 shows timing diagrams for (a) a typical prior art ALD process and (b) a novel ALD process.
  • Fig. 37 shows timing diagrams for an alternative embodiment of a novel ALD process.
  • Fig. 38 shows timing diagrams for an alternative embodiment of a novel ALD process.
  • Fig. 39 is a schematic illustration of a novel chemisorption technique for ALD processes.
  • Fig. 40 is a schematic diagram of a circuit for electrical biasing ofthe electrostatic chuck ofthe ALD reactor of Fig. 8 for improved chemisorption.
  • Fig. 1 is a schematic diagram of a novel ALD reactor 2.
  • Reactor 2 includes a stationary pedestal 4, which may include an electrostatic chuck (ESC) 6 on top of which a substrate 8 rests.
  • Substrate 8 is usually a semiconductor wafer (e.g., silicon), but may be a metallized glass substrate or other substrate.
  • a chamber lid 10 and ESC 6 define the top and bottom boundaries, respectively, of a process chamber 12.
  • the surrounding wall of chamber 12 is defined by a moveable shield 14, which is attached to a plurality of shield support legs 16.
  • the volume of process chamber 12 is smaller than prior art batch reactors, but may be similar in size to prior art single wafer systems.
  • reactor 2 provides an overall volume of reactor 2 that can be smaller than that of prior art reactors, while providing the small volume of process chamber 12.
  • the small volume of process chamber 12 achieves the advantages of small process volumes discussed above, including quick evacuation, fast switching of process gases, and less precursor required for complete chemisorption.
  • the volume of process chamber 12 cannot be made arbitrarily small, however, since substrate 8 must still be transferred into, and out of, process chamber 12.
  • Fig. 1 the fixed position of pedestal 4, including its supporting hardware, simplifies overall design of reactor 2, allowing ease of use and maintenance as well as improved performance.
  • shield 14 includes less associated hardware and is much lighter, which allows precision positioning of shield 14 to adjust the conductance of, and facilitate pumping of, chamber 12 with rapid response.
  • a chamber body 18 surrounds shield 14, chamber lid 10, and pedestal 4 (including ESC 6), defining an annular pumping channel 20 exterior to shield 14.
  • shield 14 separates process chamber 12, at low pressure, from annular pumping channel 20, which is maintained at a lower pressure than the chamber to maintain a clean background ambient in reactor 2.
  • the volume of chamber 12 is coupled to annular pumping channel 20 via a shield conductance upper path 22 and a shield conductance lower path 24.
  • Upper path 22 and lower path 24 are each defined by portions of shield 14 and corresponding features of stationary components of reactor 2.
  • upper path 22 typically a variable low leakage path during processing, is bounded by an inner wall of shield 14 and chamber lid 10.
  • Lower path 24, a variable high leakage path through a shield and shadow ring overlap region 26, is bounded by a portion of shield 14 and a shadow ring 28. Shadow ring 28 is actually separate from ESC 6 and is shown in greater detail in subsequent figures.
  • shield 14 and shadow ring 28 may vary to provide different conductances of lower path 24 as shown in Fig. 2, which shows various embodiments ofthe shield and shadow ring overlap region 26 of Fig. 1.
  • the conductance of a flow path is related to the length ofthe restriction as well as the physical dimensions ofthe path. For example, a shorter path with a large cross-sectional area has a higher conductance.
  • the structural configurations of shield 14 and shadow ring 28 result in a highest conductance path 30, a second highest conductance path 32, a third highest conductance path 34, and a lowest conductance path 36. Practitioners in the art will appreciate that many other embodiments of shield and shadow ring overlap region 26 are possible.
  • shield positions are employed throughout a novel ALD process. Raising shield 14 to its highest position (along with shadow ring 28) allows for introduction or removal of substrate 8. Dropping shield 14 to its lowest position allows rapid evacuation of chamber 12 via upper path 22 by exposure to the vacuum of annular pumping region 20. Shield 14 is positioned at intermediate positions during processing depending on gas delivery and conductance requirements.
  • the motion of shield 14 can be used to precisely control the spatial relationship between shield 14 and shadow ring 28, thereby providing a tunable conductance for chamber 12 primarily via lower path 24.
  • This allows quick, precise control ofthe pressure in chamber 12, even during processing, which is not possible in prior art methods that employ a moveable pedestal since vertical motion of substrate 8 is undesirable during processing.
  • the tunable conductance also allows quick, precise control ofthe residence time of gases introduced to chamber 12 for multiple flow rates, and it allows minimal waste of process gases.
  • FIG. 3 is a schematic diagram showing top introduction of gas into process chamber 12 of ALD reactor 2 of Fig. 1.
  • a top mount feed (not shown) has a single introduction point (or multiple introduction points) with an optional added device (not shown), such as a showerhead and/or a baffle, to ensure that a top introduction flow distribution 38 is uniform over the substrate.
  • the added device includes at least one passage, and may include many. The added device may also include intermediate passages to regulate gas distribution and velocity.
  • Fig. 4 is (a) a schematic diagram and (b) a plan view schematic diagram showing side introduction of gas into process chamber 12 of ALD reactor 2 of Fig. 1.
  • Gas is introduced from a gas channel 40 in shield 14 into process chamber 12 through orifices in an inner wall of shield 14.
  • Gas is introduced in a symmetric geometry around substrate 8 designed to ensure that a side introduction flow distribution 42 is even.
  • the plane ofthe gas introduction may be adjusted vertically relative to substrate 8 before or during gas introduction, which can be used to optimize flow distribution 42.
  • Fig. 5 is (a) a schematic diagram and (b) a plan view schematic diagram showing both top and side introduction of gas into process chamber 12 of ALD reactor 2 of Fig. 1.
  • gases for novel ALD processes including precursor and purge gases, can be introduced through the same introduction path or separate paths as desired for optimal performance and layer quality.
  • Reactor 2 of Fig. 1 can be used in a deposition process where the activation energy for the surface reaction is provided by ions created in a plasma above the substrate.
  • atomic layer deposition can be ion-induced, rather than thermally induced. This allows deposition at much lower temperatures than conventional ALD systems.
  • pedestal 4 may include an electrostatic chuck (ESC) 6 for improved temperature control and improved radio frequency (RF) power coupling.
  • ESC electrostatic chuck
  • RF radio frequency
  • MII-ALD Modulated Ion-Induced Atomic Layer Deposition
  • Fig. 6 is a schematic diagram of a control system 44 for pedestal 4 of Fig. 1.
  • Substrate 8 rests on an annular sealing lip 46 defining a backside gas volume 48 between substrate 8 and a top surface 50 of ESC 6 of pedestal 4.
  • the backside gas flows from a backside gas source 52 along a backside gas line 54, through a backside gas passageway 56 in ESC 6, and into gas volume 48.
  • the backside gas improves the thermal communication between substrate 8 and ESC 6 by providing a medium for thermal energy transfer between substrate 8 and ESC 6.
  • a means of flow control such as a pressure controller 58, maintains the backside gas at a constant pressure, thus ensuring a uniform substrate temperature.
  • Substrate temperature is modulated by heating or cooling ESC 6.
  • a temperature sensor 60 is coupled via a sensor connection 62 to a temperature monitor 64.
  • a temperature controller 66 controls a heater power supply 68 applied via an electrical connection 70 to a resistive heater 72 embedded in ESC 6.
  • a coolant temperature and flow controller 74 controls the coolant from a coolant supply 76 as it flows in a plurality of coolant channels 78 in pedestal 4.
  • ESC 6 includes at least a first electrode 80 and a second electrode 82 embedded in a dielectric material.
  • Fig. 7 is a schematic diagram of a circuit 84 for electrical biasing of electrostatic chuck 6 of pedestal 4 of Fig. 1.
  • First electrode 80 and second electrode 82 are biased with different DC potentials to provide the "chucking" action that holds substrate 8 (Fig. 1) to ESC 6 prior to plasma ignition and during deposition.
  • the biasing scheme of Fig. 7 allows establishment ofthe electrostatic attraction (i.e., "chucking") at low biases that would be insufficient to generate enough electrostatic attraction with a conventional monopolar chuck.
  • one terminal of a DC power supply 86 is coupled via a first inductor 88 to first electrode 80.
  • the other terminal of DC power supply 86 is coupled via a second inductor 90 to second electrode 82.
  • Inductors 88 and 90 serve as RF filters.
  • RF power (e.g., at 13.56 MHz) is also supplied simultaneously to both first electrode 80 and second electrode 82 using an RF generator 92 coupled to a ground terminal 94.
  • a first capacitor 96 and a second capacitor 98 are respectively coupled between RF generator 92 and first electrode 80 and second electrode 82.
  • Capacitors 96 and 98 serve as DC filters to block the DC voltage from power supply 86.
  • Circuit 84 allows improved coupling of RF power to substrate 8 during processing due to the close proximity (e.g., 0.6 mm-2 mm spacing) of substrate 8 to first electrode 80 and second electrode 82 embedded in ESC 6.
  • the transmission efficiency of RF power through the intervening dielectric of ESC 6 is higher than in conventional reactors where RF power is applied to electrodes at a greater distance from the substrate.
  • less power is needed to achieve sufficient RF power coupling to substrate 8 in novel ALD reactor 2 (Fig. 1), and the same power to generate the bias on substrate 8 can also be used to create a plasma above substrate 8 at very low powers (e.g., ⁇ 600 W, and typically ⁇ 150 W).
  • Fig. 8, Fig. 9, Fig. 10, Fig. 11, and Fig. 12 show external views and internal cutaway views of a novel ALD reactor 100.
  • Fig. 8 is a front-side perspective view of reactor 100.
  • Fig. 9 is a back-side perspective view of reactor 100.
  • Fig. 10 is a back-side perspective view, from below, of reactor 100.
  • Fig. 11 is a front-side cutaway perspective view of reactor 100.
  • Fig. 12 is another front-side cutaway perspective view of reactor 100.
  • a substrate 8 (Fig. 12) is transferred into or out of a process chamber 12 (Fig.
  • Substrate 8 is loaded onto or unloaded from the pedestal (e.g., an electrostatic chuck assembly 106 as seen in Fig. 11 and Fig. 12) by a plurality of lift pins 108.
  • the tips of lift pins 108 extend through orifices in an electrostatic chuck (ESC) 6 to hold substrate 8 above the top surface of ESC 6.
  • the tips of lift pins 108 retract below the top surface of ESC 6 allowing contact between substrate
  • lift pins 108 extend downward from process chamber 12 in the interior of reactor 100 through an electrostatic chuck assembly 106 (including ESC 6, a cooling plate 110, and a baseplate 112) to the exterior under-side of reactor 100.
  • electrostatic chuck assembly 106 including ESC 6, a cooling plate 110, and a baseplate 112
  • Each of lift pins 108 is attached to a lift pin spider 114 to coordmate their motion
  • Vertical translation of lift pin spider 114 is accomplished with an off-axis lift pin actuator 116 (e g , a pneumatic cylinder), which controls motion of a tie rod 118 that is coupled to lift pin spider 114 by a spherical jomt 120 as seen m Fig 10
  • Sphencal joint 120 transmits lifting forces to lift pin spider 114 but no moments
  • a moveable shield 14 must be m a load position Shield 14 is raised or lowered using a linear motor 122, which moves a linear motor output rod 124 attached to a shield lift spider 126 by a collet clamp 128 (best seen in Fig 10)
  • Each one of a plurality of shield support legs 16 extends through a shield support leg seal 130 and is coupled between shield lift spider 126 and shield 14
  • the axis of linear motor 122 is aligned with the axis of process chamber 12 resulting in
  • linear motor 122 provides actuation of shield 14 This is in contrast to conventional moveable pedestals wherein slower stepper motors are used for actuation
  • Conventional rotational stepper motors use lead screws (possibly m conjunction with a gear tram), which are slow but capable of moving heavy masses, to effect movement ofthe heavy pedestal
  • Linear motor 122 does not use a gear tra , but instead directly drives the load
  • Linear motor 122 mcludes a plurality of alternating magnets to effect motion of output rod 124
  • Linear motor 122 can be a commercially available linear motor and typically includes a sleeve having a coil and a moveable rod enclosing the series of alternating magnets The movement ofthe rod through the sleeve is precisely controlled, using a Hall Effect magnetic sensor, by a signal applied to the coil In one embodiment, pulses applied to the coil precisely control the position ofthe rod with respect to the sleeve, as is well known Since shield 14 is a light weight compared to conventional heavy pedestals
  • Process chamber 12 is bounded on top by a chamber lid 10.
  • Pressure m process chamber 12 of reactor 100 may be on the order of a few microtorr up to several torr.
  • the pressure of chamber 12 is momtored by a fast chamber pressure sensor 142 and a precision chamber pressure sensor 144, both of which are mounted on an upper penpheral flange of chamber lid 10 (Fig 8)
  • the temperature of chamber lid 10 is controlled by fluid flowing in a plurality of lid cooling/heating channels 146 (Fig. 11).
  • One possible path of gas introduction to process chamber 12 is through a showerhead three-way valve 148 mounted centrally on chamber lid 10.
  • Another possible method of gas introduction to process chamber 12 is through a shield gas channel 40.
  • RF power is transferred to electrodes in ESC 6 via an RF conductor 150 shielded within an RF insulator tube 152.
  • a gas medium (commonly referred to as a backside gas) is provided via a backside gas valve 154 to ESC 6 to improve the thermal coupling between ESC 6 and substrate 8.
  • a shadow ring 28 rests on a portion of ESC 6 fully surrounding a peripheral edge of substrate 8.
  • Fig. 13 is a cross-sectional view of a chamber portion 156 of ALD reactor 100 along line 13-13 of Fig. 8. Substrate entry slot 102 is shown on the left hand side extending through a chamber body 18.
  • Pumping slot 136 of height h, is shown on the right hand side extending through chamber body 18 to pump throat 134, of diameter d.
  • the temperature of chamber body 18 is controlled by fluid flowing in a chamber cooling/heating channel 158.
  • Chamber lid 10 rests atop chamber body 18.
  • a vacuum seal to maintain low pressure in the interior of reactor 100, is maintained through the use of an upper O-ring 160 between chamber lid 10 and chamber body 18.
  • the temperature of chamber lid 10 is controlled by fluid flowing in lid cooling heating channels 146. Alternatively, the temperature of chamber lid 10 may be controlled by an electric or resistive heater or other cooling/heating means.
  • the pressure in process chamber 12 is monitored, in part, by fast chamber pressure sensor 142, which is mounted on an upper peripheral flange of chamber lid 10.
  • Pressure sensor 142 monitors the pressure in a pressure tap volume 164, which is coupled to process chamber 12 by a pressure sensor orifice 166. This arrangement allows exposure of pressure sensor 142 to the pressure of chamber 12, while preventing plasma and other process chemistries from reaching, and possibly damaging, pressure sensor 142.
  • Gases can be introduced into process chamber 12 through a showerhead gas feed inlet 168, which leads to a plenum 170 above a showerhead 172 attached to a lower surface of chamber lid 10.
  • showerhead 172 includes a showerhead lip 174 and a plurality of showerhead gas orifices 176, which are used to distribute gas evenly into process chamber 12.
  • Substrate 8 rests on an upper surface of an ESC assembly 106, which includes in part, ESC 6, cooling plate 110, and baseplate 112.
  • the vertical spacing between the upper surface of ESC assembly 106 and showerhead 172 may be 0.3 inches to 1 inch, typically less than 0.6 inches.
  • Backside gas passageway 56 is shown centrally located in and extending through ESC 6.
  • ESC 6 which includes the largest portion of the upper surface on which substrate 8 rests, is held in contact with cooling plate 110 using a clamp ring 178, which overlaps a surrounding flange at the base of ESC 6.
  • a plurality of clamp ring fasteners 180 each extending through clamp ring 178 into cooling plate 110, secure the connection between ESC 6 and cooling plate 110.
  • a process kit 182 fully surrounds clamp ring 178 and electrically hides clamp ring fasteners 180 from ESC 6 and substrate 8.
  • the temperature of cooling plate 110 is controlled using fluid flowing in a plurality of coolant channels 78 as shown in Fig. 13.
  • thermal breaks 184 increase the temperature difference between ESC 6 and cooling plate 110. This allows the temperature of ESC 6 to rise substantially higher than the temperature of baseplate 112, which stays relatively cool.
  • thermal breaks 184 see Fig. 27, discussed below.
  • a lower surface of cooling plate 110 is attached to an upper surface of baseplate 112.
  • the upper surface of baseplate 112 forms the lower walls of coolant channels 78 in cooling plate 110.
  • a vacuum seal to maintain low pressure in the interior of reactor 100, is maintained through the use of an O-ring 186 between baseplate 112 and chamber body 18. Laterally spaced from O-ring 186 between baseplate 112 and chamber body 18 is an RF gasket 188.
  • One ofthe plurality of lift pins 108 is shown in retracted process position, with the tip of lift pin 108 below the top surface of ESC 6.
  • Lift pin 108 extends through a lift pin seal 190, which maintains the low pressure in the interior of reactor 100.
  • a lift pin bushing 192 reduces friction during vertical translation of lift pin 108 through aligned orifices in baseplate 112, cooling plate 110, and ESC 6.
  • shield 14 is shown in an intermediate process position.
  • Process chamber 12 is thus bounded on the top by showerhead 172, on the bottom largely by ESC 6, and on the sides by shield 14 to confine a plasma 194.
  • Shield 14 includes shield gas channel 40 and is attached to each shield support leg 16 using a shield cap 196.
  • Each shield support leg 16 extends through shield support leg seal 130, which maintains the low pressure in the interior of reactor 100.
  • a plurality of shield support leg bushings 198 reduce friction during vertical translation of shield support legs 16 through orifices in baseplate 112.
  • a shadow ring hook 200 is attached to a lower portion of shield cap 196. Shadow ring hook 200 is shown interdigitated with shadow ring 28, which fully surrounds a peripheral edge of ESC assembly 106 and rests on a process kit bevel 202 of process kit 182. Shadow ring 28 protects the underlying portions of ESC assembly 106 during deposition onto substrate 8. Shadow ring 28 also defines the circumferential region near the edge of substrate 8 where deposition is masked. Shadow ring 28 also plays a role in defining the chamber conductance. For a more detailed view of process kit bevel 202, see Fig. 16, discussed below.
  • two leakage paths modulate gas flow between process chamber 12 and annular pumping channel 20, which is largely bounded by chamber body 18, chamber lid 10, and ESC assembly 106.
  • the leakage occurs due to differing pressures between process chamber 12 and annular pumping channel 20.
  • a shield conductance upper path 22 is bounded on one side by an inner upper surface of shield 14, and on the other side by outer surfaces of chamber lid 10 and showerhead 172.
  • a shield conductance lower path 24 is bounded on one side by surfaces of a lower portion of shield 14, shield cap 196, and shadow ring hook 200, and on the other side by surfaces of shadow ring 28.
  • Upper path 22 leads from process chamber 12 to an upper portion 204 of annular pumping channel 20, while lower path 24 leads from process chamber 12 to a lower portion 206 of annular pumping channel 20.
  • Shield 14 can be vertically translated by either raising it into upper portion 204 of annular pumping channel 20 or lowering it into lower portion 206 of annular pumping channel 20. As shield 14 is translated, the conductances of upper path 22 and lower path 24 are changed. The variations in conductance can be controlled to vary the pressure in process chamber 12 in a controlled manner as needed for various steps in an atomic layer deposition process sequence. Shield Operation
  • reactor 2 includes a stationary pedestal 4 (see Fig. 1).
  • reactor 100 of Fig. 12 includes ESC assembly 106. Transfer of substrate 8 into process chamber 12 of reactor 100 is facilitated through the use of moveable shield 14, which also plays a significant role during processing.
  • FIG. 14 Fig. 14, Fig. 15, Fig. 16, and Fig. 17 show detailed cross-sectional views ofthe right side of chamber portion 156 of Fig. 13, showing shield 14 in a substrate load shield position 208 (Fig. 14), a low conductance process shield position 210 (Fig. 15), a high conductance process shield position 212 (Fig. 16), and a purge shield position 214 (Fig. 17).
  • shield support legs 16 are raised by linear motor 122 (Fig. 8).
  • shadow ring hook 200 contacts shadow ring 28 and lifts it as well.
  • Shield 14 and shadow ring 28 are then raised together.
  • Shield 14 enters upper portion 204 of annular pumping channel 20.
  • Shield 14 and shadow ring 28 can be raised until shadow ring 28 contacts showerhead lip 174, which prevents shadow ring 28 from contacting showerhead 172.
  • Load shield position 208 thus allows loading (or unloading) of substrate 8 into (or out of) process chamber 12 via substrate entry slot 102 (Fig. 13).
  • a substrate blade or paddle (not shown) carries substrate 8 into process chamber 12.
  • Lift pins 108 are raised by lift pin actuator 116 (Fig. 10) to contact substrate 8 and lift it off the top surface ofthe blade. The blade is then retracted out of chamber 12 through entry slot 102. Lift pins 108 are retracted past the top surface of ESC 6 allowing substrate 8 to rest on ESC 6 as shown in Fig. 14.
  • a similar process is followed to unload substrate 8 from chamber 12.
  • shadow ring 28 is not used, and shield 14 forms variable conduction paths with other surfaces that may be fixed or moveable.
  • the load position may be achieved by lowering shield 14 sufficiently so that substrate 8 may pass over the top edge of shield 14.
  • the low conductance process shield position 210 shown in Fig. 15, shows the positions of shield 14 and shadow ring 28 at the moment that shadow ring 28 contacts process kit 182.
  • An angled shadow ring seat 216 of shadow ring 28 rests on process kit bevel 202 of process kit 182. This is the only point of contact between shadow ring 28 and process kit 182.
  • Air gaps separate shadow ring 28 and process kit 182 away from each edge of process kit bevel 202.
  • the airgaps between shadow ring 28 and process kit 182 allow for differential thermal expansion of shadow ring 28 and process kit 182 during processing.
  • the angle of process kit bevel 202 helps center shadow ring 28, through interaction with the angle of shadow ring seat 216, so that the edge of substrate 8 is shadowed uniformly by a shadow ring edge 218 of shadow ring 28.
  • Lowering shield 14 into process position creates shield conductance upper path 22 and shield conductance lower path 24, as described with respect to Fig. 13 above. While it is possible to reduce the conductance of lower path 24 to zero (Fig. 15), during deposition upper path 22 generally forms a low conductance leakage path, while lower path 24 generally forms a higher conductance leakage path (Fig. 16).
  • Lower path 24 includes several distinct regions: a plurality (three in this embodiment) of fixed conductance regions 220 (fixed gaps between shadow ring hook 200 and shadow ring 28) interspersed with a plurality (two in this embodiment) of variable conductance regions 222 (variable gaps).
  • the volumes of fixed conductance regions 220 and variable conductance regions 222 can be precisely controlled (by precise positioning of shield 14 by linear motor 122) to adjust the conductance of lower path 24, and therefore the pressure of chamber 12, as needed during the process.
  • purge shield position 214 of Fig. 17 shield support legs 16 are lowered by linear motor 122 (Fig. 8). Shield 14 and shadow ring hook 200 are lowered into lower portion 206 of annular pumping channel 20. Shadow ring 28 remains seated on process kit 182. Both shield conductance upper path 22 and shield conductance lower path 24 become high conductance paths. Purge shield position 214 allows quick evacuation ofthe gases in process chamber 12 into annular pumping channel 20 due to the high conductances created and the lower pressure of annular pumping channel 20 compared to chamber 12.
  • linear motor 122 (Fig. 8) provides actuation of shield 14. This allows quick and accurate variation ofthe conductance of shield conductance upper and lower paths 22 and 24. This translates into quick and accurate variation ofthe pressure in process chamber 12 for given gas flows into process chamber 12.
  • a throttle valve i.e., a butterfly valve, a variable position gate valve, a pendulum valve, etc.
  • pump throat 134 Fig. 13
  • the throttle valve augments the pressure range achievable in process chamber 12, providing a "coarse adjustment" ofthe pressure in process chamber 12, while shield 14 provides a "fine adjustment” ofthe pressure.
  • the novel hardware for ALD reactor 100 (Fig. 11) supports the introduction of gases into process chamber 12 through multiple points.
  • the primary introduction point is through the top of reactor 100, in particular, through showerhead three-way valve 148 (mounted on chamber lid 10) and showerhead 172 (best seen in Fig. 13).
  • Gases may also be introduced into chamber 12 through shield 14, which may be additionally configured for temperature control.
  • Fig. 18 is a schematic diagram of a novel valve system 224 for gas delivery in ALD reactor 100 of Fig. 8.
  • This embodiment delivers a single precursor and a purge gas to process chamber 12, either separately or in a mixed proportion.
  • the purge gas is used to purge the chamber and as the gas source to strike a plasma.
  • a carrier gas for the precursor flows from a first gas source 226, and the purge gas flows from a second gas source 228.
  • vacuum pump 236 allows the carrier and purge gases to flow in steady state conditions even when they are not flowing to chamber 12. This avoids disturbances in the gas flows caused by the long settling times of gas sources that are switched on and off.
  • a showerhead three-way valve 148 controls access to a chamber gas line 238, which leads to process chamber 12.
  • Three-way valve 148 located centrally on chamber lid 10 as seen in Fig. 11, provides at least two distinct advantages. First, gases introduced to chamber 12 can be switched rapidly with minimal loss or delay. Second, gases are isolated from each other outside of chamber 12, resulting in no cross-contamination of reactants.
  • a first on/off valve 240 is coupled between first ends of a second on off valve 242 and a third on/off valve 244. The opposite ends of second and third on/off valves 242 and 244 are each coupled to a first precursor source 246.
  • First on/off valve 240 is also coupled between first three-way valve 230 and showerhead three-way valve 148 via a gas line 248 and a gas line 250, respectively.
  • Precursor source 246 can be isolated by closing on/off valves 242 and 244. This may be done, for example, to change precursor source 246.
  • on/off valve 240 may be closed, or opened to allow carrier gas to flow through three-way valves 230 and 148 into chamber 12.
  • first on/off valve 240 is normally closed, and second and third on/off valves 242 and 244 are normally open.
  • Three-way valves 230, 232, and 148 are switched synchronously to deliver either precursor or purge gas to chamber 12.
  • purge three-way valve 232 is switched to flow the purge gas to vacuum pump 236, and showerhead three-way valve 148 is switched to the precursor side.
  • three-way valve 230 is switched to allow carrier gas to flow from first gas source 226 through gas line 248 and on/off valve 242 into precursor source 246.
  • the carrier gas picks up precursor in precursor source 246, typically by bubbling through a liquid source.
  • the carrier gas now including precursor, flows through on/off valve 244, through gas line 250, through showerhead three-way valve 148, through chamber gas line 238, and into chamber 12.
  • first three-way valve 230 When delivering purge gas, first three-way valve 230 is switched to flow the carrier gas to vacuum pump 236. Purge three-way valve 232 and showerhead three-way valve 148 are switched to allow purge gas to flow from second gas source 228 through a gas line 252 and chamber gas line 238 into chamber 12.
  • Valve system 224 keeps gas line 248 charged with carrier gas, gas line 250 charged with carrier plus precursor, and gas line 252 charged with purge gas. This allows fast switching between gas sources by significantly reducing the gas delivery time to chamber 12. Valve system 224 also minimizes waste of gases since gas lines do not need to be flushed between deposition steps. Furthermore, any gas bursts from transient pressure spikes upon gas switching, due to the charged gas lines, would only help the initial stages of chemiso ⁇ tion or surface reaction.
  • valve systems for gas delivery to reactor 100 are possible.
  • two separate gas sources are shown providing the carrier gas and the purge gas, which may be different gases. It is possible, however, that in some embodiments the same gas used as the purge gas may be used as the carrier gas for the precursor.
  • first gas source 226 may be used singly in a valve system 254, which has many similar components to valve system 224 of Fig. 18, as shown schematically in Fig. 19.
  • Valve system 254 can be simplified by replacing three-way valve 230 with a T- junction 256 as shown schematically in Fig.
  • valve system 258 for a valve system 258, which has many similar components to valve system 224 of Fig. 18.
  • showerhead three-way valves 148 in valve system 254 (Fig. 19) and valve system 258 (Fig. 20) control the flow of purge gas or carrier-plus- precursor gas to chamber 12.
  • pump 236 may not be used in some embodiments.
  • gas delivery of multiple precursors may be desirable. Two embodiments of multiple precursor delivery are shown in the schematic diagrams of a valve system 260 in Fig. 21 and a valve system 262 in Fig. 22.
  • valve systems 260 (Fig. 21) and 262 (Fig. 22) each have many similar components to valve system 224 of Fig. 18.
  • Valve systems 260 (Fig. 21) and 262 (Fig. 22) are shown configured for two precursor sources, but may be further adapted for additional precursor sources.
  • a second three-way valve 264 controls the flow of carrier gas to a second precursor source 266.
  • a fourth on/off valve 268, a fifth on/off valve 270, and a sixth on/off valve 272 are coupled similarly to, and operate similarly to, valves 240, 242, and 244, respectively, to control the flow of carrier gas through second precursor source 266.
  • a gas line 274, similar to gas line 248, is coupled between three-way valve 264 and on/off valve 270.
  • valve system 260 further includes a third gas source 276 in addition to first and second gas sources 226 and 228 of valve system 224 of Fig. 18.
  • a fourth three-way valve 284 controls delivery ofthe purge gas via gas line 252 and a gas line 286 to three-way valve 278, which directs the purge gas to showerhead three-way valve 148 as needed via gas line 282.
  • valve system 262 is shown configured to use gas source 226 for both the purge and carrier gases.
  • the carrier gas is delivered from gas source 226 to three-way valve 264 via a gas line 288.
  • the purge gas is delivered to the second terminal of a third three-way valve 278 (and similar valves of any additional precursor sources) via gas line 252.
  • the third terminal of three-way valve 278 is coupled to the second terminal of showerhead three-way valve 148 via gas line 282.
  • Three-way valve 278 thus controls delivery ofthe second precursor and the purge gas to showerhead three-way valve 148.
  • Other modifications may be made for alternative embodiments ofthe valve systems of Figs. 18,
  • showerhead three-way valve 148 may be accomplished instead with an equivalent network of on/off valves (similar to valves 240, 242, and 244) and fittings.
  • Metering valves may be added to branches to regulate the flow for specific branches.
  • Pressure sensors may be added to branches and coupled with the valve actuation to introduce known amounts of reactant.
  • Valve timing may be manipulated to deliver "charged" volumes of gas to process chamber 12.
  • the traditional valves may be replaced with advanced designs such as micro-electromechanical (MEM) based valves or valve networks. The entire valve system can be heated to prevent condensation of reactants in the network.
  • MEM micro-electromechanical
  • Fig. 23 is a perspective cross-section of two embodiments of a showerhead 172 for gas distribution.
  • showerhead 172 is designed to have a larger diameter, and thus a larger area, than substrate 8 and ESC 6 (Fig. 13).
  • showerhead 172 includes a plurality of mounting holes 290 used to facilitate attachment of showerhead 172 to chamber lid 10 with a plurality of fasteners (see Fig. 13).
  • showerhead 172 also includes a plurality of pressure sensor orifices 166, one for each pressure sensor used to sense the pressure in process chamber 12. For example, fast chamber pressure sensor 142 and precision chamber pressure sensor 144 (Fig. 8) would each require a pressure sensor orifice 166 in showerhead 172.
  • showerhead 172 also includes showerhead lip 174 peripherally around the edge of showerhead 172 used to prevent shadow ring 28 from hitting showerhead 172.
  • showerhead 172 also includes a cavity 292 centrally located in an upper surface of showerhead 172 as shown in Fig. 23 (a). Cavity 292 forms plenum 170 (Fig. 13) upon attachment of showerhead 172 to chamber lid 10. A plurality of showerhead gas orifices 176 are arranged within cavity 292 in a pattern designed for a particular gas flow distribution. The diameter of cavity 292 is designed to be larger than the diameter of substrate 8 (Fig. 13). In the embodiment of Fig. 23 (b), showerhead 172 includes a cavity 294 that is similar to cavity 292 of Fig. 23 (a), but cavity 294 has a diameter designed to be smaller than the diameter of substrate 8. Practitioners will appreciate that a number of different diffusing devices may be used to tailor the directionality ofthe gas flows as needed.
  • Fig. 24 is a perspective cross-section of an embodiment of a shield assembly 296, including a shield gas channel 40, for ALD reactor 100 of Fig. 8.
  • a plurality of shield support legs 16 attach to shield cap 196, which is attached to the base of shield 14. Most of shield support legs 16 are solid.
  • Gas is introduced into shield 14, through at least one hollow shield support leg 298, which extends through shield cap 196 into shield gas channel 40 in shield 14.
  • Shield gas channel 40 is annular and runs completely around the base of shield 14.
  • Shield gas channel 40 is a high conductance channel that allows introduced gas to distribute evenly around shield gas channel 40 of shield 14 before introduction into process chamber 12 (Fig. 13). Gas is introduced to chamber 12 through a plurality of gas flow orifices 300, which are evenly spaced along shield gas channel 40 and extend through an inner wall of shield 14 into process chamber 12. The gas introduction path of shield assembly 296 is designed to ensure uniform gas flow around substrate 8 as discussed with reference to Fig. 4.
  • gas through shield 14 allows tremendous flexibility in designing ALD processes.
  • the same gas introduced through showerhead 172 can be simultaneously introduced through shield 14 to provide improved coverage in process chamber 12 and on substrate 8 (Fig. 13).
  • one gas can be introduced through showerhead 172 while a different gas is introduced through shield 14, allowing improved gas isolation and quicker cycling ofthe gases.
  • shield 14 moves gas to be introduced at different planes within process chamber 12, parallel to the plane of substrate 8.
  • the shield motion can be used to optimize the gas flow distribution of a particular ALD process.
  • another role of shield 14 is to confine plasma 194 during processing (Fig.
  • a cooling/heating channel can be inco ⁇ orated in the shield design. This also helps prevent deposition on shield 14.
  • Fig. 25 is a perspective cross-section of an embodiment of a shield assembly 302, including a shield cooling/heating channel 304, for ALD reactor 100 of Fig. 8.
  • Shield assembly 302 includes some shield support legs 16, which are solid, attached to shield cap 196 at the base of shield 14. Similar to shield assembly 296 of Fig. 24, which includes gas channel 40, a cooling or heating fluid flows up into shield 14 through at least one hollow shield support leg 306, which extends through shield cap 196 into cooling/heating channel 304 in shield 14.
  • Shield cooling/heating channel 304 is annular and runs about two-thirds ofthe way around the base of shield 14. The cooling or heating fluid flows down, out of shield 14, through at least one other hollow shield support leg (not shown), which is similar to hollow shield support leg 306.
  • Fig. 26 is a perspective cross-section of an embodiment of a shield assembly 308, including both shield gas channel 40 and shield cooling/heating channel 304, for ALD reactor 100 of Fig. 8.
  • gas channel 40 is located above cooling/heating channel 304.
  • Hollow shield support leg 306 extends through shield cap 196 into cooling/heating channel 304 to allow fluid flow
  • Hollow shield support leg 298 extends through shield cap 196 and cooling/heating channel 304 into gas channel 40 to allow gas introduction from shield 14 into process chamber 12 via gas flow onfices 300
  • shield assembly 308 could mclude alternative arrangements of gas channel 40 and cooling/heating channel 304, including multiple gas channels 40 and/or multiple cooling/heating channels 304
  • Design of particular shield assembly embodiments is extremely flexible, and reactor 100 is designed to facilitate removal, replacement, and use of various shield assemblies This allows the easy introduction of a shield assembly that might include gas delivery and cooling/heating (I e , shield assembly 308), or only one of these (I e , shield assemblies 296 or 302), or neither gas delivery nor cooling/heating, depending on the requirements ofthe customer and the process
  • FIG. 1 is a cutaway perspective view of an embodiment of an electrostatic chuck assembly 106 for ALD reactor 100 of Fig 8 ESC assembly 106 includes m part, an electrostatic chuck (ESC) 6, a coolmg plate 110, and a baseplate 112 Cooling plate 110 and baseplate 112 can be shaped as annuh with overlapping central orifices that together define an access port 310, which provides access to
  • Annular sealing lip 46 holds substrate 8 above surface 50 defining a backside gas volume 48 bounded by surface 50, sealing lip 46, and the backside of substrate 8
  • a backside gas is provided to gas volume 48 through a backside gas entry 312 to a backside gas valve 154
  • Gas valve 154 is located on the extenor underside of reactor 100 at the outer edge of baseplate 112 to provide easy access (Fig 8 and Fig 11)
  • the backside gas flows along a backside gas line 54, which runs radially inward along a lower surface of baseplate 112.
  • Gas lme 54 curves upward through access port 310 and is attached to the center ofthe bottom surface of ESC 6 using a backside gas line flange 314
  • the backside gas flows through a backside gas passageway 56 centrally located m and extendmg through ESC 6 to gas volume 48
  • a backside gas line seal 316 inside flange 314 maintains the pressure of gas volume 48
  • the backside gas plays an important role in the temperature control of substrate 8
  • Electrostatic chucks are usually made of a dielectnc matenal (e g , aluminum nitnde A1N, or polyimide) ESC 6 may be designed to have its bulk matenal effects dominated by the Johnson-Rahbek (JR) effect rather than a coulombic effect, since the JR effect provides a stronger, more efficient electrostatic attraction
  • JR ESC typically has a bulk resistivity between 108 and 1012 ⁇ -cm, while a coulombic ESC generally has a bulk resistivity greater than 1013 ⁇ -cm Embedded in the dielectric material of ESC 6, close to top surface 50, are at least two electrodes.
  • a first electrode 80 and a second electrode 82 are shaped as concentric annular plates made of a conductive material, for example, tungsten or molybdenum.
  • First electrode 80 is biased using a first electrode terminal 318, which is coupled to first electrode 80 and extends down through ESC 6 into access port 310.
  • Second electrode 82 is biased using a separate second electrode terminal (not shown).
  • a DC "chucking" voltage is applied to both first electrode 80 and second electrode 82 to create an electrostatic attraction between substrate 8 and top surface 50 of ESC 6 to retain substrate 8 during processing.
  • RF bias power is coupled to each electrode 80 and 82 as well. The RF bias power provides the power for plasma and hence ion generation during modulated ion induced atomic layer deposition.
  • the RF bias power In addition to generating a plasma, the RF bias power also induces a slight negative potential (e.g., a DC offset voltage typically -10 V to -80 V at ⁇ 150 W RF power and 0.1 — 1 Torr pressure) on substrate 8.
  • the magnitude ofthe potential should be ⁇ 150 V.
  • the induced voltage defines the ion energy ofthe positively charged ions in the plasma and attracts the positively charged ions toward the surface of substrate 8.
  • the positively charged ions impinge on the wafer, driving the deposition reaction and improving the density ofthe deposited film.
  • a resistive heater 72 is also embedded in ESC 6.
  • Resistive heater 72 is shaped as at least one coil or ribbon that winds throughout ESC 6 in a plane located about midway between electrodes 80 and 82 and the bottom of ESC 6.
  • Heater 72 is controlled via at least one resistive heater terminal 320 coupled to heater 72. Terminal 320 extends down through ESC 6 into access port 310.
  • ESC 6 is basically a dielectric substrate support with an embedded heater 72 and embedded electrodes 80 and 82 for DC biasing and RF power coupling.
  • ESC 6 is held in contact with cooling plate 110 using an annular clamp ring 178, which overlaps a clamp land 322 of a surrounding flange at the base of ESC 6.
  • An ESC O-ring 324 creates a vacuum seal between ESC 6 and cooling plate 110.
  • a plurality of clamp ring fasteners 180 each extending through clamp ring 178 into cooling plate 110, secure the connection between ESC 6 and cooling plate 110.
  • Process kit 182 includes a process kit bevel 202 used for centering a shadow ring 28 (Fig. 15) on process kit 182.
  • Process kit 182 may be made of a dielectric material (e.g., aluminum oxide, aluminum nitride, or hard-anodized aluminum) to electrically isolate clamp ring fasteners 180 from ESC 6 and substrate 8. Process kit 182 also protects clamp ring 178 and fasteners 180 from process gases, facilitating cleaning of reactor 100 (Fig. 12).
  • a dielectric material e.g., aluminum oxide, aluminum nitride, or hard-anodized aluminum
  • Cooling plate 110 can be made (e.g., machined) from a variety of thermally conductive materials, for example, aluminum or stainless steel. An upper surface of cooling plate 110 is patterned to create a plurality of small area contacts 326 and a plurality of thermal breaks 184. Contacts 326, which have the form of ridges, contact the bottom surface of ESC 6. Thermal breaks 184 are gaps between ESC 6 and cooling plate 110, which increase the temperature difference between ESC 6 and cooling plate 110.
  • the temperature of cooling plate 110 can be controlled using a fluid (e.g., water) flowing in a plurality of coolant channels 78. Coolant channels 78 are designed to allow the fluid to flow in a largely circular manner at various diameters of cooling plate 110.
  • a fluid e.g., water
  • cooling plate 110 is attached to an upper surface of baseplate 112.
  • the upper surface of baseplate 112 forms the lower walls of coolant channels 78 in cooling plate 110.
  • Thermal breaks 184 of cooling plate 110 allow maintenance of a significant temperature difference between top surface 50 (which may be near 300 °C) of ESC 6 and a bottom surface of baseplate 1 12 (which is exposed to air and may be less than 50 °C).
  • One of a plurality of lift pins 108 which facilitate loading and unloading of substrate 8, is shown in retracted process position, with the tip of lift pin 108 below top surface 50 of ESC 6.
  • Each lift pin 108 extends through a lift pin orifice 328, which includes a plurality of aligned orifices in baseplate 112, cooling plate 110, and ESC 6.
  • ESC assembly 106 Alternative embodiments of ESC assembly 106 are possible.
  • at least one peripheral ring of holes can be used to introduce the backside gas, rather than just a centrally located hole, as discussed in more detail below.
  • ESC 6 can be replaced with a conventional susceptor to facilitate ALD processes at higher temperatures.
  • Fig. 27B illustrates interdigitated electrodes 79 and 83
  • Fig. 27C illustrates D-shaped electrodes 85 and 87, that may be used instead ofthe concentric annular plate electrodes 80 and 82 in Fig. 27A.
  • Electrodes 85 and 87 may be solid or have an opening, such as shown by dashed lines. Practitioners will appreciate that various other embodiments ofthe electrodes are possible.
  • the showerhead 172 (Fig. 23) is not grounded but is coupled to another RF source in a manner similar to the RF source coupling to the ESC electrodes in Fig. 7.
  • the phase difference between the RF power applied to showerhead 172 and the RF power coupled to electrodes 80 and 82 in the ESC controls ion density and energy, with a difference of 180° creating the maximum ion density and energy.
  • the two RF sources have different frequencies.
  • Temperature control of ESC assembly 106 is important for high quality atomic layer deposition.
  • a uniform temperature across a substrate 8 resting on annular sealing lip 46 of ESC 6 promotes uniform chemiso ⁇ tion of precursors. If the temperature of substrate 8 is too high, decomposition or deso ⁇ tion of precursors may occur. If the temperature of substrate 8 is too low, either or both ofthe chemiso ⁇ tion and the deposition reactions will be impeded.
  • Fig. 28 is a schematic diagram of a control system 330 for electrostatic chuck (ESC) assembly 106 (Fig. 27A) of ALD reactor 100 of Fig. 8.
  • Control system 330 may also be applied to various embodiments of pedestal 4 of ALD reactor 2 of Fig. 1.
  • Control system 330 is an embodiment of control system 44 of Fig. 6, as discussed previously.
  • Control system 330 is used to establish and maintain a uniform temperature across substrate 8. As shown in Fig. 28, substrate 8 rests on an annular sealing lip 46 defining a backside gas volume 48 between substrate 8 and top surface 50 of ESC 6.
  • a backside gas e.g., Ar, He, etc.
  • the backside gas flows from a backside gas source 52 along a backside gas line 54, through a backside gas passageway 56 in ESC 6, and into gas volume 48.
  • the backside gas improves the thermal contact between substrate 8 and ESC 6, by providing a medium for thermal energy transfer between substrate 8 and ESC 6.
  • Heat transfer improves with increasing backside gas pressure, up to a saturation limit. Ranges for backside gas pressures are 3-20 torr, and typical ranges are 6-10 torr for good thermal conductivity and temperature uniformity across the substrate. Using the disclosed embodiments, a temperature uniformity across the substrate may be ⁇ 5 °C. Above a backside gas pressure of 5 torr, a uniformity of ⁇ 15 °C is typically achieved.
  • a pressure controller 58 maintains the backside gas at a constant pressure, thus ensuring constant heat transfer and uniform substrate temperature.
  • annular sealing lip 46 may take the form of several islands scattered across top surface 50 of ESC 6.
  • the amount of direct contact between the chuck and the substrate can be virtually any amount, such as between 15-50%.
  • the temperature of substrate 8 is modulated by heating or cooling ESC 6.
  • a temperature sensor 60 e.g., a thermocouple or optical infrared sensor
  • a temperature setpoint signal is also provided to monitor 64 via a setpoint electrical connection 334.
  • a temperature controller 66 creates a signal that is amplified through a power amplifier or modulator 336 and applied via an electrical connection 70 to a resistive heater terminal 320 (Fig. 27A), which is coupled to a resistive heater 72 embedded in ESC 6.
  • a coolant temperature and flow controller 74 controls the fluid from a coolant supply 76 as it flows in a plurality of coolant channels 78 in pedestal 4 (or in ESC assembly 106 in Fig. 12 and Fig. 13).
  • Control system 330 is designed to control the temperature of substrate 8, by heating and/or cooling, for a wide range of power and temperature. Temperature control can be accomplished by various techniques, including regulating the backside gas pressure, heating ESC 6 directly with resistive heater 72, or regulating the temperature and/or flow of fluid in coolant channels 78. The temperature of substrate 8 can thus be periodically or continuously varied during the deposition process to meet different process demands. Additional information regarding temperature control in atomic layer deposition may be found in related U.S. Application Serial No. 09/854,092, entitled “Method And Apparatus For Improved Temperature Control In Atomic Layer Deposition,” filed May 10, 2001.
  • control system 330 of Fig. 28 may have various embodiments.
  • temperature sensor 60 may have various embodiments.
  • Temperature sensor 60 may be a thermocouple that measures the temperature of ESC 6.
  • Temperature sensor 60 may be a pyrometer device that optically measures the temperature ofthe backside of substrate 8.
  • temperature sensor 60 could take other equivalent forms.
  • Fig. 29 is a schematic diagram of a control system 338, including an alternative energy source 340, for pedestal 4 of reactor 2 (Fig. 1) or for
  • ESC assembly 106 (Fig. 27A) of ALD reactor 100 (Fig. 8).
  • Control system 338 is similar to control system 44 (Fig. 6) and control system 330 (Fig. 28), as discussed previously.
  • Alternative energy source 340 is located outside of pedestal 4 (or ESC assembly 106) near the top of chamber 12 and may include radiation from lamps, a plasma, or another source.
  • Alternative energy source 340 could be controlled, for example, by regulating the power to the lamps or plasma.
  • Alternative energy source 340 could be used alone, or in conjunction with one or more of resistive heater 72, the fluid in coolant channels 78, or the pressure ofthe backside gas in gas volume 48.
  • an additional cooling source may be added to control system 330 of Fig. 28 to improve the cooling capacity and/or performance.
  • the additional cooling source could be a refrigeration system, a heat pipe, a refrigerated liquid or gas coolant system, or other equivalent system.
  • Fig. 30 is a perspective view of an embodiment of a portion 342 of an ESC assembly 106 (Fig. 27A) for ALD reactor 100 of Fig. 8.
  • ESC 6 includes a central orifice 344 as well as a peripheral ring of orifices 346 located near the periphery of substrate 8.
  • Various embodiments of ESC 6 may include either or both of orifice 344 and orifices 346. Orifices 346 result in improved pressure uniformity between substrate 8 and ESC 6, which results in improved temperature uniformity across substrate 8.
  • An additional peripheral ring of orifices 347 can be added outside of orifices 346 to ensure a constant pressure gradient at the edge of substrate 8.
  • the additional ring of orifices would also serve as an edge purge to prevent reactive gases from entering gas volume 48 (Fig. 28) and causing deposition on the backside of substrate 8.
  • pressure controller 58 may be replaced by, for example, a flow regulator such as a metering valve or mass flow controller.
  • an actuation valve can be added between pressure controller 58 and backside gas volume 48 to isolate pressure controller 58 and gas source 52 from process chamber 12 during a substrate transfer. This valve may additionally be used to stop the flow of backside gas to reduce its pressure, allowing the substrate to "de-chuck” without “popping" (shifting) when electrodes 80 and 82 in ESC 6 are de-powered. This valve may additionally be used in conjunction with a pump to more quickly reduce the backside gas pressure before "de-chucking" substrate 8.
  • control system 330 and its various constituents are possible.
  • FIG. 31 is a schematic diagram of a circuit 348 for electrical biasing of electrostatic chuck (ESC) 6 of ESC assembly 106 (Fig. 27A) of ALD reactor 100 of Fig. 8. Circuit 348 may also be applied to various embodiments of ESC 6 of pedestal 4 of ALD reactor 2 of Fig. 1. Circuit 348 is an alternative embodiment to circuit 84 of Fig. 7, as discussed previously.
  • ESC electrostatic chuck
  • Fig. 27A electrostatic chuck
  • Circuit 348 may also be applied to various embodiments of ESC 6 of pedestal 4 of ALD reactor 2 of Fig. 1.
  • Circuit 348 is an alternative embodiment to circuit 84 of Fig. 7, as discussed previously.
  • ESC 6 includes at least a first electrode 80 and a second electrode 82.
  • One possible embodiment ofthe electrode geometry of first and second electrodes 80 and 82 (shown schematically in Fig. 31) is shown in Fig. 27A, where first and second electrodes 80 and 82 are shown as concentric annular plates.
  • a double D (i.e., mirror imaged) configuration or interdigitated configuration for electrodes 80 and 82 can also be used, as previously mentioned.
  • first and second electrodes 80 and 82 are each biased with a DC voltage.
  • RF bias power is also coupled to both electrodes 80 and 82.
  • Embedding electrodes 80 and 82 in ESC 6 allows improved RF power coupling to substrate 8 with maximum uniformity and minimal power loss, compared to applying RF power to cooling plate 110 (or baseplate 112) upon which ESC 6 sits (Fig. 27A). This is because electrodes 80 and 82 in ESC 6 are close to substrate 8, while cooling plate 110 (and baseplate 112) are comparatively far from substrate 8.
  • First electrode 80 and second electrode 82 are biased with different DC potentials to provide the "chucking" action that holds substrate 8 to ESC 6 prior to plasma ignition and during deposition.
  • first electrode 80 is coupled via a serial coupling of a first inductor 88 and a first load resistor 350 to one terminal of a DC power supply 86.
  • Second electrode 82 is coupled via a serial coupling of a second inductor 90 and a second load resistor 352 to the other terminal of DC power supply 86.
  • a third capacitor 354 is coupled between one terminal of inductor 88 and a ground terminal 94.
  • a fourth capacitor 356 is coupled between the other terminal of inductor 88 and ground terminal 94.
  • a fifth capacitor 358 is coupled between one terminal of inductor 90 and ground terminal 94.
  • a sixth capacitor 360 is coupled between the other terminal of inductor 90 and ground terminal 94.
  • Inductor 88 and capacitors 354 and 356 together form an RF trap circuit 362, which filters RF from the DC bias.
  • inductor 90 and capacitors 358 and 360 together form another RF trap circuit 362.
  • RF power is also supplied to both first electrode 80 and second electrode 82 using an RF generator 92 with one terminal coupled to ground terminal 94.
  • a third inductor 364 is coupled between the other terminal of RF generator 92 and one terminal of a first variable capacitor 366.
  • the other terminal of variable capacitor 366 is coupled to one terminal of a first capacitor 96 and to one terminal of a second capacitor 98.
  • the other terminal of capacitor 96 is coupled to first electrode 80.
  • the other terminal of capacitor 98 is coupled to second electrode 82.
  • a second variable capacitor 368 is coupled across the terminals of RF generator 92, between one terminal of inductor 364 and ground terminal 94. Inductor 364 and capacitors 366 and 368 together form an RF impedance matching circuit 370, which minimizes the reflected power to RF generator 92.
  • Circuit 348 of Fig. 31 allows simultaneous application of a DC "chucking" voltage and of an RF power for plasma generation during processing.
  • the same RF power is used to create plasma 194 above substrate 8 (Fig. 13) and to generate a negative, induced DC bias on substrate 8.
  • RF power can be used since the breakdown voltage required to generate plasma 194 using RF power is far lower than in the DC case (e.g., 100 V vs. 300-400 V) for a given Paschen curve of pressure-distance product (P X d).
  • a stable DC bias can be induced using RF power.
  • coupling RF power to electrodes 80 and 82 allows a uniform potential to build across substrate 8 while employing low RF powers, for example, 50 W to 150 W, which is less than the 350 W to 600 W required in conventional plasma reactors.
  • the frequency ofthe RF bias power can be 400 kHz, 13.56 MHz, or higher (e.g., 60 MHz, 200 MHz).
  • the low frequency can lead to a broad ion energy distribution with high energy tails which may cause excessive sputtering.
  • the higher frequencies (e.g., 13.56 MHz or greater) lead to tighter ion energy distributions with lower mean ion energies, which is favorable for modulated ion-induced ALD deposition processes.
  • RF power is applied to the top boundary ofthe process chamber, usually a showerhead. This causes sputtering ofthe top boundary, which is a major source of impurity inco ⁇ oration (typically aluminum or nickel) and/or particulate inco ⁇ oration in conventionally deposited films. The sputtering also transfers kinetic energy to the reactor structure, heating it considerably and requiring active cooling ofthe reactor structure.
  • RF power is applied to electrodes 80 and 82 (Fig. 31) embedded in
  • showerhead 172 and shield 14 are grounded so that the higher plasma sheath voltage drop is localized mostly on substrate 8 where deposition takes place. This is because the voltage ratio V hot /V co!d is proportional to the respective electrode areas according to where n is greater than one.
  • V hot is the plasma sheath voltage drop at the powered, or "hot,” electrode, that is, ESC 6 of ESC assembly 106.
  • d is the voltage drop at the non-powered, or "cold,” electrode, that is, showerhead 172 and shield 14.
  • the combined areas of showerhead 172 and shield 14 can be jointly considered as the area ofthe cold electrode.
  • a low RF power can be used to simultaneously generate plasma 194 (Fig. 13) and to keep the energy ofthe impinging ions from plasma 194 low and controlled.
  • the ion energy should be ⁇ 150 eV, and preferably between 10-80 eV, to drive the deposition reaction.
  • the magnitude of V bias should be ⁇ 150 V, and preferably V bias should be between -10 and -80 V, to prevent sputtering ofthe deposited layer.
  • the magnitude of V p is typically 10-30 V.
  • the induced bias voltage is controlled by the applied RF power.
  • the induced bias voltage increases with increasing RF power and decreases with decreasing RF power.
  • Increasing the RF power also generally increases the number of ions generated.
  • Controlling the RF power also controls the density of ions in the plasma. Higher RF powers are required for larger substrate diameters.
  • the preferred power density is ⁇ 0.5 W/cm 2 , which equates to approximately ⁇ 150 W for a 200 mm substrate. Power densities >3 W/cm 2 (greater than about 1000 W for a 200 mm diameter substrate) may lead to undesired sputtering ofthe deposited film.
  • cooling plate 110 and baseplate 112 are grounded. Therefore, each clamp ring fastener 180 is also grounded.
  • Process kit 182 which is made of an insulating material, electrically shields fasteners 180 so that plasma 194 is not affected by the ground voltage of fasteners 180.
  • Plasma 194 can be controlled in a variety of ways. For example, plasma 194 can be controlled by varying the applied RF power.
  • a switch may be included, for example, in RF impedance matching circuit 370 or with RF generator 92 (Fig. 31).
  • Fig. 32 is a schematic diagram of a circuit 372, including an RF match switch 374 in RF impedance matching circuit 370, for electrical biasing of ESC 6.
  • Fig. 33 is a schematic diagram of a circuit 376, including an RF supply switch 378 in an RF power supply 380 (which also includes RF generator 92), for electrical biasing of ESC 6.
  • Circuit 372 (Fig.
  • circuit 376 (Fig. 33) are similar to circuit 348 (Fig. 31), except for switches 374 and 378.
  • Switches 374 and 378 can be opened to isolate RF generator 92, or switches 374 and 378 can be closed to apply RF power to electrodes 80 and 82.
  • Switches 374 and 378 enable a plasma response time in the 100 ms time range.
  • Plasma 194 (Fig. 13) can also be controlled by varying gas pressure while using, for example, circuit 348 of Fig. 31 with an RF power constantly applied to electrodes 80 and 82. Referring to Fig. 15, Fig. 16, and Fig. 17, as discussed previously, shield 14 forms a shield conductance upper path 22 with showerhead 172 and chamber lid 10.
  • Shield 14 also forms a shield conductance lower path 24 with shadow ring 28.
  • the conductances of upper and lower paths 22 and 24 are varied by precision movement of shield 14 by linear motor 122 (Fig. 8).
  • the conductances of upper and lower paths 22 and 24 directly affect the pressure in process chamber 12 and can be used to vary that pressure.
  • a high pressure i.e., relative to the pressure of annular pumping channel 20
  • a low conductance process shield position 210 as shown in Fig. 15.
  • High pressure will strike plasma 194 (Fig. 13) given a favorable ambient in chamber 12.
  • a low pressure can be established in chamber 12 using a purge shield position 214, as shown in Fig. 17, to expose chamber 12 to annular pumping channel 20.
  • Plasma 194 (Fig. 13) can also be controlled by a combination of varying gas pressure and applied RF power.
  • plasma 194 may be ignited by a high pressure and favorable ambient in chamber 12.
  • Plasma 194 may be terminated by a switch, such as switch 374 in circuit 372 of Fig. 32 or switch 378 in circuit 376 of Fig. 33.
  • circuit 348 of Fig. 31 and its various constituents, for electrical biasing of ESC 6 are possible.
  • multiple RF sources may be utilized.
  • ALD Processes Background and Novel Processes
  • Fig. 34 is a schematic illustration of a conventional ALD process.
  • each precursor or reactant
  • each precursor or reactant is introduced sequentially into the chamber, so that no gas phase intermixing occurs.
  • a first gaseous precursor 382 (labeled Ax) is introduced into the deposition chamber, and a monolayer ofthe reactant is chemisorbed (or physisorbed) onto the surface of a substrate 8 forming a chemisorbed precursor A 384 as shown in Fig. 34(a).
  • a free ligand x 386 is created by the chemiso ⁇ tion of precursor Ax 382.
  • a second gaseous precursor 388 (labeled By) is introduced into the deposition chamber.
  • Precursor By 388 reacts with chemisorbed precursor A 384 on substrate 8 as shown in Fig. 34(c) in a self- limiting surface reaction.
  • the self-limiting reaction halts once initially adsorbed precursor A 384 fully reacts with precursor By 388.
  • excess gaseous precursor By 388 and any reaction by-products are pumped out, again possibly with the aid of an inert purge gas, leaving behind an AB monolayer 390 ofthe desired thin film as shown in Fig. 34(d).
  • a desired film thickness is obtained by repeating the deposition cycle as necessary.
  • the film thickness can be controlled to atomic layer (i.e., angstrom scale) accuracy by simply counting the number of deposition cycles.
  • ALD processes are slower than traditional deposition techniques such as CVD and PVD. In order to improve throughput, shorter deposition cycles are desirable.
  • One way to shorten the deposition cycle is to shorten the durations ofthe individual precursor and pump/purge steps.
  • the individual pulse lengths cannot be arbitrarily decreased.
  • the first precursor pulse must be long enough to form an adsorbed layer ofthe first precursor on the substrate.
  • the second precursor pulse must be long enough to allow complete reaction between the first and second precursors.
  • the pump/purge pulses in between the precursor pulses must be long enough so that gas phase intermixing ofthe precursors does not occur. Gas phase intermixing can lead to gas phase reactions and/or particle formation, each of which can cause quality and reliability problems in the deposited film. Fig.
  • Gaseous precursor 392 includes the desired thin film species (P) bonded with a plurality of ligands (L).
  • Species P may be a single element (e.g., Ti, W, Ta, Cu) or a compound (e.g., TiN X) TaN x , or WN X ).
  • a molecule of gaseous precursor 392 interacts with a surface bond 394 to form a chemisorbed precursor 396 via a chemical bonding process that may create a plurality of free ligands 398 as shown in Fig. 35(a).
  • a monolayer of chemisorbed precursor 396 is formed on substrate 8 as shown in Fig. 35(b).
  • an inert purge gas is introduced into the process chamber to purge excess gaseous precursor 392.
  • the purge gas may include, for example, argon (Ar), diatomic hydrogen (H 2 ), and other optional species such as helium (He).
  • RF power is applied (e.g., using a computer synchronized switch) during this second step to generate a plasma 194 in the process chamber, or the plasma is struck by an increased gas pressure under constant RF power.
  • plasma 194 includes a plurality of energetic ions 400 (e.g., Ar + ions) and a plurality of reactive atoms 402 (e.g., H atoms). Some of reactive atoms 402 may actually be ions.
  • Ions 400 and atoms 402 impinge on the surface of substrate 8.
  • Energetic ions 400 transfer energy to substrate 8, allowing reactive atoms 402 to react with chemisorbed precursor 396 and to strip away unwanted ligands (which form a plurality of volatile ligands 404) in a self-cleaning process.
  • Reactive atoms 402, in conjunction with energetic ions 400 may thus be considered to act as a "second" precursor.
  • a monolayer 406 usually about one atomic layer ofthe desired species P, is left on substrate 8 as shown in Fig. 35(d). This two-step deposition cycle can be repeated as needed until the desired film thickness is achieved.
  • the film thickness deposited per cycle depends on the deposited material. Typical film thicknesses range from 10-15 ⁇ A.
  • Typical precursors for tantalum (Ta) compounds include PDEAT [pentakis(diethylamido)tantalum], PEMAT [pentakis(ethylmethylamido)tantalum], TaBr 5 , TaCls, and TBTDET [t-butylimino tris(diethylamino)tantalum].
  • Typical precursors for titanium (Ti) compounds include TiCl 4 , TDMAT [tetrakis(dimethylamido)titanium], and TDEAT [tetrakis(diethylamino)titanium].
  • Typical precursors for copper (Cu) compounds include CuCl and Cupraselect®
  • Typical precursors for tungsten (W) compounds include W(CO) 6 and WF 6 .
  • organometallic precursors can be used in novel ALD processes.
  • inert e.g., argon, hydrogen, and/or helium
  • the activation energy for the surface reaction is provided by energetic ions 400 created in plasma 194 above substrate 8, the reaction will not generally occur without the energy provided by ion bombardment because the process temperature is kept below the temperature required for thermal activation.
  • novel atomic layer deposition processes are ion-induced, rather than thermally induced.
  • the deposition reaction is controlled by modulation ofthe energy of energetic ions 400, by modulation of the fluxes of energetic ions 400 and reactive atoms 402 impinging on substrate 8, or by modulation of both energy and fluxes.
  • the energy (e.g., 10 eV to 100 eV) of energetic ions 400 should be high enough to drive the surface reaction, but low enough to prevent significant sputtering of substrate 8.
  • FIG. 36(a) shows that one deposition cycle in a conventional ALD process includes a first precursor pulse 408, a purge/pump pulse 410, a second precursor pulse 412, and another purge/pump pulse 410.
  • Each pulse is followed by a delay 414, which has a duration that is usually non-zero.
  • Delays 414 during which only pumping occurs and no gases flow, are additional insurance against gas phase intermixing of first precursor pulse 408 and second precursor pulse 412. Delays 414 also provide time to switch gases with conventional valve systems.
  • first and second precursor pulses 408 and 412 may be between 200 ms and 15 sec.
  • the duration of purge/pump pulses 410 may be 5-15 sec.
  • the durations of delays 414 may be 200 ms to 5 sec. This results in deposition cycles from 11 sec to 75 sec. Thus, a 50 cycle deposition process could take over one hour.
  • Fig. 36(b) shows two deposition cycles in the novel ALD process.
  • One deposition cycle includes a first precursor pulse 416 and a purge gas pulse 418. Each pulse is followed by a delay 420.
  • the elapsed time of one deposition cycle is significantly shorter in accordance with the novel process when compared to conventional ALD processes, thereby increasing process throughput.
  • Process throughput can be further increased if delays 420 have zero length.
  • Zero-length delays can be accomplished using three-way valves (in particular showerhead three-way valve 148 of Fig. 8) or a similar configuration of on/off valves and fittings, which allow fast gas switching.
  • Delays 420 of zero length are further facilitated in novel ALD processes by effective use of purge gas pulse 418, which may include a mixture of more than one gas.
  • the purge gas may include the "second" precursor source gas(es) (i.e., as shown in Fig. 35(c), reactive atoms 402, acting in conjunction with energetic ions 400, created during purge gas pulse 418).
  • the carrier gas for the first precursor i.e., flowing during first precursor pulse 416) may be one ofthe source gases ofthe "second" precursor.
  • the deposition cycle of Fig. 36(b) might begin with a purge gas pulse 418, including a plasma, used as an in-situ clean to remove carbon-containing residues, native oxides, or other impurities.
  • reactive atoms 402 e.g., H atoms in Fig. 35(c)
  • volatile species e.g., CH X and OH x species.
  • Energetic ions 400 e.g., Ar + and/or He + ions in Fig.
  • 35(c) improve dissociation (e.g., of H 2 ) and add a physical clean (e.g., via sputtering by Ar + ions generated in the plasma).
  • reactive atoms 402 may not be needed and plasma 194 may not include reactive atoms 402.
  • novel ALD process described previously may be modified to further increase performance.
  • Alternative novel ALD processes may address faster purging of precursors, rapid changes in the conductance ofthe process chamber, state-based changes from one step to the next, self-synchronization of the process steps, and/or various plasma generation and termination options. Such alternatives can be used to further decrease the length of a deposition cycle, thereby increasing throughput.
  • shield 14 forms shield conductance upper path 22 with showerhead 172 and chamber lid 10.
  • Shield 14 also forms shield conductance lower path 24 with shadow ring 28.
  • the conductances of upper and lower paths 22 and 24 are varied by precision movement of shield 14 by linear motor 122 (Fig. 8).
  • a purge shield position 214 may be used (Fig. 17).
  • Lowering shield 14 opens up shield conductance upper and lower paths 22 and 24 to annular pumping channel 20.
  • the low pressure of pumping channel 20 will hasten removal of excess gaseous precursor 392, and by-products such as free ligands 398 (Fig. 35(b)), from process chamber 12.
  • the purge gas e.g., Ar, H 2 , and/or He
  • the purge gas is flowed to assist in purging excess gaseous precursor 392 and by-products from chamber 12.
  • Lowering shield 14 also leads to a drop in the pressure in chamber 12 through exposure of chamber 12 to annular pumping channel 20. Shield 14 can then be moved back up, for example, to a position similar to shield position 212 of Fig. 16, to decrease the conductance and raise the pressure in chamber 12 (assuming constant gas flow) in order to strike plasma 194 (Fig. 35(c)).
  • plasma 194 can be generated while using, for example, circuit 348 of Fig. 31.
  • Application of RF power may be synchronized (e.g., by computer confrol) with the position of shield 14 (Figs. 15-17) to generate plasma 194 in chamber 12 (Fig. 13).
  • high pressure i.e., relative to the pressure of annular pumping channel 20
  • Low pressure i.e., near the pressure of annular pumping channel 20
  • Fig. 37 shows timing diagrams for an alternative ALD process embodiment, as discussed above.
  • Fig. 37(a) shows two deposition cycles including a first precursor pulse 416 followed by a purge gas pulse 418 with zero length delays after each pulse.
  • Fig. 37(b) shows the corresponding chamber conductance.
  • Each one of a plurality of low conductance periods 422 (corresponding to raised shield positions) is separated from another by one of a plurality of high conductance periods 424 (corresponding to lowered shield positions).
  • High conductance periods 424 occur at the beginning and end of each purge gas pulse 418 to assist in purging chamber 12 (Fig. 13) of resident gases.
  • Fig. 37(c) shows the corresponding pressure in chamber 12 (Fig. 13).
  • a low conductance period 422 results in a high pressure period 426.
  • a high conductance period 424 results in a low pressure period 428.
  • Fig. 37(c) also shows a plurality of "plasma on” periods 430 and a plurality of "plasma off periods 432. Plasma on periods 430 occur during each high pressure period 426 during purge gas pulses 418.
  • the RF power to generate plasma 194 may be synchronized with the shield position.
  • the plasma can be ignited by high pressure (in the presence ofthe purge gas) and terminated by low pressure, while RF bias power is constantly supplied to electrodes 80 and 82 embedded in ESC 6 (Fig. 31).
  • some novel ALD process embodiments can use a state-based approach, rather than a time-based approach, to synchronize the individual pulses. This can provide self-synchronization ofthe individual pulses for improved process speed, control, and reliability. Instead of introducing a next gas pulse (with a fixed duration) a predetermined time after the introduction ofthe previous fixed duration gas pulse, subsequent gas pulses can be triggered based upon a change in the pressure state of process chamber 12 (Fig. 13). This can be accomplished using a pressure switch mounted in chamber body 18 capable of sensing changes in the pressure of process chamber 12. The pressure can be modulated via the in-process tunable conductance, achieved by a shield 14 that can be moved during the deposition cycle, as described previously.
  • Fig. 38 shows timing diagrams for another alternative embodiment of a novel ALD process.
  • the ALD process of Fig. 38 is similar to the ALD process of Fig. 37, but it has an alternate plasma termination technique. Accordingly, to avoid redundancy, the discussion focuses on differences in the embodiments.
  • shield 14 is lowered only after each precursor pulse 416 to assist in purging excess gaseous precursor 392 and free ligands 398 from chamber 12 (see also Fig. 17 and Fig. 35 (b)).
  • the number of high conductance periods 424 in Fig. 38(b), corresponding to low pressure periods 428 in Fig. 38 (c), is reduced.
  • a low conductance period 434 in Fig. 38(b) extends from purge gas pulse 418 into the following precursor pulse 416 in Fig. 38(a).
  • the plasma is ignited by, or synchronized with, the high pressure in chamber 12 (Fig. 13).
  • Plasma on periods 430 occur during each high pressure period 436 during purge gas pulses 418.
  • Plasma 194 (Fig. 13) is terminated for subsequent plasma off periods 432 (during precursor pulses 416) by a means other than pressure change, which may include, for example, disconnecting the RF power using a switch or setting the RF output power to zero.
  • a switch could be located, for example, in RF impedance matching circuit 370 or in RF power supply 380 (Fig. 32 and Fig. 33). Actuation of such a switch would be synchronized with the deposition steps by, for example, a computer.
  • a gaseous precursor e.g., precursor 392 in Fig. 35(a)
  • first precursor pulse 416 Fig. 36(b)
  • This novel chemiso ⁇ tion technique for ALD processes promotes uniform and complete (I e , saturated) chemiso ⁇ tion with a specified onentation on dielectric and metallic surfaces so that high quality, reproducible layer-by-layer growth can be achieved using ALD
  • the novel chemiso ⁇ tion technique is particularly effective for the first few precursor monolayers, where, in the absence of this technique, precursor molecules may chemisorb with a random orientation
  • This method is also particularly effective in the case of organometalhc precursors such as those mentioned previously
  • Fig 39 is a schematic illustration ofthe novel chemiso ⁇ tion technique for ALD processes to deposit thin films, for example, for copper interconnect technology
  • Two thin films used in copper interconnect technology are a barner/adhesion layer and a copper seed layer
  • Fig 39(a) illustrates chemiso ⁇ tion of TaN, a typical barner/adhesion layer matenal
  • the Bu' ligand may cleave A now negatively charged precursor 440 then orients with a negatively charged nitrogen 442 (e g , the N -1 ) toward substrate 8, which is positively biased, for chemiso ⁇ tion
  • a negatively charged nitrogen 442 e g , the N -1
  • the Ta becomes positively charged and a negative bias applied to substrate 8 would orient the Ta toward substrate 8 for chemiso ⁇ tion
  • Fig 39(b) illustrates chemiso ⁇ tion of Cupraselect® (CuhfacTMVS), a typical copper seed layer material
  • CuhfacTMVS Cupraselect®
  • the TMVS ligand is cleaved A now positively charged precursor 446 then onents with a positively charged copper 448 (e g , the Cu +1 ) toward substrate 8, which is negatively biased, for chemiso ⁇ tion
  • the novel chemiso ⁇ tion technique may include an in-situ clean pnor to introduction ofthe first precursor to promote high quality film deposition
  • a purge gas pulse 418 e g , including Ar, H 2 and/or He
  • a purge gas pulse 418 can be used as an in-situ clean to remove carbon-containing residues, native oxides, or other impurities (see, for example, Application Senal No 60/255,812, referenced above)
  • Removing native oxides from metal layers is especially important for low resistance and good mechamcal adhesion ofthe film to substrate 8 (Fig 39)
  • H atoms can react with carbon and oxygen to form volatile species (e g , CH x and OH x species) Ar + or He + ions improve dissociation (e g , of H 2 ) and add a physical clean (e g , via sputtering by Ar + ions generated in the plasma)
  • the gas ratios can be tailored to alter the physical versus chemical components ofthe
  • Circuit 450 of Fig 40 is similar to circuit 372 of Fig 32 and circuit 376 of Fig 33 Accordmgly, to avoid redundancy, the discussion will focus on differences between circuit 450 and circuits 372 and 376 In Fig 40, with the RF power from RF generator 92 decoupled by opemng an RF power switch
  • This potential difference provides the "chucking" action that holds substrate 8 (Fig 39) to ESC 6
  • Senally coupled between the common node (labeled A) of DC power supplies 454 and 456 and a ground terminal 458 are a current suppression resistor 460, a DC power switch 462, and a DC reference voltage source 464
  • Ground terminal 458 may be the same ground reference as ground terminal 94
  • DC reference voltage source 464 With DC power switch 462 closed, the reference voltage of electrodes 80 and 82 (and therefore of substrate 8 during chemiso ⁇ tion as shown m Fig 39) is established by DC reference voltage source 464
  • Current suppression resistor 460 limits the current from DC reference voltage source 464
  • DC reference voltage source 464 is capable of providmg a positive or negative voltage, as needed for biasmg substrate 8 (Fig 39)
  • the voltage level provided by DC reference voltage source 464 may additionally reduce the time required to chemisorb a complete monolayer This may allow a reduction in the duration of first precursor pulse 416 (Fig 36(b)) and/or a reduction in the precursor partial pressure during first precursor pulse 416
  • DC power switch 462 is opened to isolate voltage source 464 and to electrically float first and second DC power supplies 454 and 456 RF power switch 452 is closed to reconnect RF generator 92 The remainder of the ALD process continues as descnbed previously
  • a circuit similar to circuit 450 of Fig 40 to generate plasma 194 above substrate 8 (Fig 13) by biasing ESC 6 usmg a high DC voltage (e g , 500 V or higher)
  • RF generator 92, RF impedance matching circuit 370, and capacitors 96 and 98 would not be used
  • DC reference voltage source 464 would supply at least two distinct voltages, or switch 462 would alternate between two distmct voltage sources
  • the first voltage would be a low DC voltage coupled to electrodes 80 and 82 dunng plasma off penods 432 (Fig 37)
  • the low DC voltage might be zero volts, or a non-zero low voltage used to orient precursor molecules for improved chemiso ⁇ tion as discussed above
  • the second voltage would be a high DC voltage coupled to electrodes 80 and 82 dunng plasma on periods 430 (Fig 37) to generate plasma 194
  • the novel ALD reactor is particularly suitable for thin film deposition, such as barner layer and seed layer deposition,

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Public Health (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
PCT/US2002/009999 2001-04-05 2002-03-27 Systeme et procede de depot en couches atomiques WO2002081771A2 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP02731204A EP1436443A1 (fr) 2001-04-05 2002-03-27 Systeme et procede de depot en couches atomiques

Applications Claiming Priority (20)

Application Number Priority Date Filing Date Title
US28162801P 2001-04-05 2001-04-05
US60/281,628 2001-04-05
US09/902,080 US6800173B2 (en) 2000-12-15 2001-07-09 Variable gas conductance control for a process chamber
US09/902,080 2001-07-09
US09/970,867 US20020144657A1 (en) 2001-04-05 2001-10-03 ALD reactor employing electrostatic chuck
US09/970,867 2001-10-03
US10/000,825 2001-10-24
US10/004,488 US20020076481A1 (en) 2000-12-15 2001-10-24 Chamber pressure state-based control for a reactor
US10/000,825 US20020144786A1 (en) 2001-04-05 2001-10-24 Substrate temperature control in an ALD reactor
US09/999,636 US6630201B2 (en) 2001-04-05 2001-10-24 Adsorption process for atomic layer deposition
US09/999,636 2001-10-24
US10/004,488 2001-10-24
US09/999,499 US20020144655A1 (en) 2001-04-05 2001-10-24 Gas valve system for a reactor
US09/999,499 2001-10-24
US10/000,382 2001-10-24
US10/000,382 US20020073924A1 (en) 2000-12-15 2001-10-24 Gas introduction system for a reactor
US09/999,532 2001-10-24
US09/999,532 US20020076507A1 (en) 2000-12-15 2001-10-24 Process sequence for atomic layer deposition
US10/027,592 US7189432B2 (en) 2000-12-15 2001-12-19 Varying conductance out of a process region to control gas flux in an ALD reactor
US10/027,592 2001-12-19

Publications (1)

Publication Number Publication Date
WO2002081771A2 true WO2002081771A2 (fr) 2002-10-17

Family

ID=27580594

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/009999 WO2002081771A2 (fr) 2001-04-05 2002-03-27 Systeme et procede de depot en couches atomiques

Country Status (2)

Country Link
EP (1) EP1436443A1 (fr)
WO (1) WO2002081771A2 (fr)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003048430A1 (fr) * 2001-11-27 2003-06-12 Osram Opto Semiconductors Gmbh Dispositif et procede pour produire, enlever ou traiter des couches sur un substrat
US6818249B2 (en) 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
WO2006081020A1 (fr) * 2005-01-26 2006-08-03 Tokyo Electron Limited Procede et appareil pour depot monocouche
FR2904328A1 (fr) * 2006-07-27 2008-02-01 St Microelectronics Sa Depot par adsorption sous un champ electrique
US8384192B2 (en) 2004-01-28 2013-02-26 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
US9023436B2 (en) 2004-05-06 2015-05-05 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
CN111430224A (zh) * 2014-01-13 2020-07-17 应用材料公司 具有空间原子层沉积的自对准式双图案化
US11145495B2 (en) 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003048430A1 (fr) * 2001-11-27 2003-06-12 Osram Opto Semiconductors Gmbh Dispositif et procede pour produire, enlever ou traiter des couches sur un substrat
US6818249B2 (en) 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
US8384192B2 (en) 2004-01-28 2013-02-26 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US9023436B2 (en) 2004-05-06 2015-05-05 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
WO2006081020A1 (fr) * 2005-01-26 2006-08-03 Tokyo Electron Limited Procede et appareil pour depot monocouche
US7459175B2 (en) 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
KR101156838B1 (ko) * 2005-01-26 2012-06-18 도쿄엘렉트론가부시키가이샤 단분자층 증착 프로세싱 시스템의 조작 방법
FR2904328A1 (fr) * 2006-07-27 2008-02-01 St Microelectronics Sa Depot par adsorption sous un champ electrique
CN111430224A (zh) * 2014-01-13 2020-07-17 应用材料公司 具有空间原子层沉积的自对准式双图案化
CN111430224B (zh) * 2014-01-13 2023-07-28 应用材料公司 具有空间原子层沉积的自对准式双图案化
US11145495B2 (en) 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate

Also Published As

Publication number Publication date
EP1436443A1 (fr) 2004-07-14

Similar Documents

Publication Publication Date Title
US7318869B2 (en) Variable gas conductance control for a process chamber
US7189432B2 (en) Varying conductance out of a process region to control gas flux in an ALD reactor
US7806983B2 (en) Substrate temperature control in an ALD reactor
US20020076507A1 (en) Process sequence for atomic layer deposition
US20020144657A1 (en) ALD reactor employing electrostatic chuck
US20020076481A1 (en) Chamber pressure state-based control for a reactor
US20020073924A1 (en) Gas introduction system for a reactor
US20020144655A1 (en) Gas valve system for a reactor
TW540093B (en) Atomic layer deposition system and method
US6756318B2 (en) Nanolayer thick film processing system and method
US7138336B2 (en) Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US7779784B2 (en) Apparatus and method for plasma assisted deposition
US7871676B2 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
US6475902B1 (en) Chemical vapor deposition of niobium barriers for copper metallization
US20120202353A1 (en) Nanolayer deposition using plasma treatment
KR101897604B1 (ko) 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
WO2005103323A1 (fr) Procede et appareil de formation d'une couche metallique
EP1100980A2 (fr) Systeme et procede de traitement de depot chimique en phase vapeur d'une couche metallique au moyen d'un precurseur liquide
WO2002045871A1 (fr) Systeme et procede de depot module d'une couche atomique induite par des ions
KR20040042892A (ko) 혼합 화학 프로세스를 위한 장치 및 방법
WO2003031674A1 (fr) Procede de depot d'une couche de materiau
KR19980070902A (ko) 저온 통합된 금속화 방법 및 그 장치
US20020132473A1 (en) Integrated barrier layer structure for copper contact level metallization
JP2021507453A (ja) 垂直プラズマ源からの改良されたプラズマ暴露のために成形された電極

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2002731204

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 2002731204

Country of ref document: EP

NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP